1 SIMATIC 2 3 FM350−1 4 5 6 7 8 9 10 11 12 A B C - sotuu.net · simatic ˘simatic hmi wxysimatic...

250
1 FM 350-1 2 FM 350-1 3 FM 350-1 4 FM 350-1 5 M7 6 FM 350-1 7 8 9 DB 10 M7 11 12 A B C 01/2003 A5E00164922-01 FM350-1 6ES7350-1AH00-8BG0 SIMATIC

Transcript of 1 SIMATIC 2 3 FM350−1 4 5 6 7 8 9 10 11 12 A B C - sotuu.net · simatic ˘simatic hmi wxysimatic...

1

FM 350−12

FM 350−13

FM 350−1 4

FM 350−1!"#$%#5

M7&'(%)*%+,-%./012!"#$%#

6

FM 350−1347

56

789:;<=>%:8

?%=@ABCDE9

DB 10

M7F)*F%G'(%)*%+,-%./0

11

HIJ1KLM12

N

OPQRA

GSTB

56UVC

WXYZ[

01/2003

A5E00164922−01

FM350−1

>\]^_

`>\]^_ab@cB:d]%efghij&kl

6ES7350−1AH00−8BG0

SIMATIC

Index-2FM350−1

A5E00164922−01

!

!"#$%&'()%*+,-./01")23%*

45'6&/789

!

!"#$%&'()%*+,-./01")23%*45'6&/789

!

!"%:*+,-./;<3:*+,86&/789

=>?@AB/CDEFGHIAJKLM"N8')OPQ*RS-789

TA01AB/UVWXYZ[*\]A(^_A&'`ab\cdef9g^_h?(ijkl"mc01?

`noApq?rs*\]^_A&'`ab 89

tAu"-def9

!

TA=>?@Avwxy(za|7.(~"RSf'"A &/7.`

&'(8'zA01Fvwxy?Ar"WA 89

TA=>(byIbB/UV-d\f'?W/ Z?n`\

."Aij"0-789

SIMATIC SIMATIC HMI WXYSIMATIC NET (SIEMENS AGA 89

TAGHI f'LA &/A <A."¡¢h-.£g

hA¤¥*¦:8'T?&/789

TAGHI"(§¨Aij*©'.WXY=>Frf.01A3%*ª«."©V¬

­®RSf789TA­®(GHI ¢¯°A±²³´*UV./µ¶

·¸"mcGf789

¹GHIAº»(¼½A¾¿ÀÁIWXYÂyÀÁI?#8'X]ÃÄA*c789.e-ÅÆu*8ÇB/ÈdT?( É.Êj#*Ë8'A (&/7ÌÍ9¹GHIAº»(ÎÏ<"ÐÑfÒOÓ(tÔAÕ \789ÖÐFÖO×Ø&/7-.WÙÌdef9

ÚÛ­®ÜZ¤ Siemens AG 2000 − 2002 8ÇA¤¥Ýf789

Þ"X'~-"¹7.(¹Aº»*ß=àá7.(8'T?*âã789Æä-.(3:åæAÛç&/789褼éêë"X/ìã'¤¥*íî8ÇA¤¥(Ýf789

Siemens AGBereich Automation and DrivesGeschaeftsgebiet Industrial Automation SystemsPostfach 4848, D− 90327 Nuernberg

Siemens AG 2000 − 2002

Siemens Aktiengesellschaft A5E00164922−01

iiiFM350−1 A5E00164922−01

FM 350− 1

!"#$%&' ()*+, !-./0123456"

#$78(0& (*9:;<=>?@AB

STEP778(0C78(0"#$D!1 EC% F(G

%&' ("#$HIJKLMNOPQR

OKS;*D!1 T U (VW*"6;XYZ[\>]

A^*Windows 95/98/2000_NTD`GEF ( ECabcdefOg%

h2_ij (78(0& (ij kl )mnO[o;]>p4

*>qrAB_stuvwfkFM 350− 1

>xByz|~UG'!FM 350−1 efO

;,OH

FM 350−1

MLFB

6ES7 350−1AH00−0AE0

6ES7 350−1AH01−0AE0 432

1 =

6ES7 350−1AH02−0AE0

432

1 =

6ES7 350−1AH03−0AE0

432

1 =

*

ivFM350−1

A5E00164922−01

FM 350−1 ef>AB_

0 Gs (ET 200M)

CiR /3/Omv; EC

0'T'

EF (v EU

C ¡

Underwriters Laboratories, Inc.: UL 508¢£¤¥

(¦§v¨©ª« )

Canadian Standards Association: CSA C22.2 number 142

(78¬ ¨©ª« )

Factory Mutual Research: Approval Standard Class Number 3611

CE

SIMATIC S7−300|EU­®¯"#$H°±0 O²_

EC­®73/23/EEC “³´µ­® ”

EC­®89/336/EWG “EMC­®”

CTick

SIMATIC|S7−300AS/NZS 2064 (D !0U )¶·¯*¸¹

SIMATIC S7−300|IEC 61131−2 ¯Rº¸O²_

!"#$!%&'

»1 !/'¼ 6ES7350−1AH00−8BG0 X½

()*+,

FM 350−1 ¾¿kÀÁ_UÂwfUÂ)´ÃeÄÅÆÇ

ÅÆ*9Ȥ¥§N*ÉÊOË4ab*ÌÍknÎÏij OUÂ"#

$ÅÆÐÑA

*

vFM350−1 A5E00164922−01

-!.!)/0

ÒÓZkÁÔ>p;RÕLMSiemensÖK×_T !*"ÔØ:ÙÐÑA

http://www.siemens.com/automation/partner

01"234

Siemens SIMATICS7D!1 EC*ÚÛ_ÑÐ_Ü!G

(% OÝÞßÐàá!G (¬ 2_âNuremberg,

D90327*p;ã!G (¬ 2*"ÔØ:ÙÐÑA

´ä: +49 (911) 895− 3200

2å'!: http://www.sitrain.com

*

viFM350−1

A5E00164922−01

A&D56)/0

æçlè^é 24sêPë

( )

124 1365

!: +49 (0) 180 5050−222

Fax: +49 (0) 180 5050−223

"# :[email protected]

GMT: +1:00

$%&' / ()*+( )

,-

./ : 0127:00117:00

!: +49 (0) 180 5050−222

Fax: +49 (0) 180 5050− 223

"# :adautorisierung@ siemens.comGMT: +1:00

34( )

5,-

./ : 0128:00117:00

!: +1 (0) 423 262 2522

Fax: +1 (0) 423 262 2289

"# :[email protected]

GMT: −5:00

(( /678*( ( )

5,-

./ : 012 8:30117:30

!: +86 10 64 75 75 75

Fax: +86 10 64 74 74 74

"# :[email protected]

GMT: +8:00

SIMATIC9&8:;<,-9&8=>?@AB>5C>DEF

*

viiFM350−1 A5E00164922−01

ì*í 2å'!?Â!yzîïOD 0 ÝÞ

http://www.siemens.com/automation/service&support

Â!*ð~>ñ@AB

G2è^mv;|ò¡~Oósô<Õ

Âõ &Âö!*p;÷øefuùkúûO÷øÕ

ü0Cæçè^ýþ"#$>BB~O

ÖK×i2 ¨©0àáÖK×O÷øÕ

FÂõ K ½|kl*9;~ ”Services”Õ

*

viiiFM350−1

A5E00164922−01

ixFM350−1 A5E00164922−01

1 1-1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1.1 FM 350−1 1-2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1.2 FM 350−1 1-5. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1.3 FM 350−1 1-7. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1.4 FM 350−1 1-10. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2 FM 350−1 2-1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2.1 2-2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2.2 FM 350−1 2-3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

3 FM 350−1 ! 3-1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

3.1 "#$%&'()* 3-2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

3.2 "#$%&' ! 3-7. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

3.3 +,-./012345'4 3-10. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

4 FM 350−1 6789'* 4-1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

4.1 789'*:;<#43=>? 4-2. . . . . . . . . . . . . . . . . . . . . . . . . .

5 FM 350−1 @"A8B#A 5-1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

5.1 CNT_CTL1C#&DE# (FC 2) 5-3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

5.2 CNT_CTL2C#&DE# (FC 3) 5-10. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

5.3 DIAG_INFC#&DE# (FC 1) 5-11. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

5.4 F 5-12. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

5.5 G"H&IJK' 5-15. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

5.6 FCLMNOFM 350−1 @"A8B#A 5-16. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

5.6.1 P#0QR$#"3ST>UH&VH&<#'4 5-16. . . . . . . . . . . .

5.6.2 WX0QR$#"3ST>UH&VH&<#'4 5-24. . . . . . . . . . . . .

5.6.3 YZ[\]^_`LOa<#'4LM 5-31. . . . . . . . . . . . . . . . . . . . . .

5.6.4 bcdef 5-35. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

5.7 CPU STOPST>CPU STOP−RUNghijk] 5-36. . . . . . . . . . . . . . . . . . . . .

6 M7lP#'C#&DE#8<G8mgTj@"A8B#A 6-1. . . . . . . . . . . . . . . . . . . .

6.1 6-2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

6.2 no@"A8pqr 6-4. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

6.3 P#'Us#%3tuv789'* 6-5. . . . . . . . . . . . . . . . . . . . . . . . .

6.4 P#'tuwxywz 6-8. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

6.5 K1'3.|ST>?|~ 6-9. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

6.6 P#'Us#%3 6-10. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

6.7 P#'wP#'tuw45'44s#mH 6-12. . . . . . . . . . .

xFM350−1

A5E00164922−01

6.8 * 6-13. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

6.9 89H1 6-14. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

7 FM 350−1 cd 7-1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

7.1 <#43UH&m4 7-2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

7.2 789'*UH&m4 7-4. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8 0789'$# 8-1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.1 0 X$#=>?gijno 8-2. . . . . . . . . . . . . . . . . .

8.2 0 8-3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.3 P#0 8-4. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.3.1 P#0 ? 8-4. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.3.2 X 8-5. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.3.3 P#d 8-8. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.3.4 P#d 8-10. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.3.5 XuP#d 8-15. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.3.6 P#d 8-20. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.3.7 $#: P#' 8-21. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.3.8 K1'3?|d 8-27. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.3.9 $#: P#'X 8-38. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.3.10 $#: P#'8HU /b 8-44. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.3.11 $#: P#'8HU 8-46. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.3.12 $#:2¡¢££WX 8-48. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.4 WX0 8-49. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.4.1 WX0? 8-49. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.4.2 X 8-50. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.4.3 ¤¥¦WX 8-55. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.4.4 RPMWX 8-57. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.4.5 ¤uWX 8-59. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.4.6 $#: WX 8-62. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.4.7 K1'3?|d 8-66. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8.5 @"4*§ 8-69. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

9 #$¨¡¢©ª« 9-1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

9.1 9-2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

9.2 5 V¬d¡¢ 9-3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

9.3 24 V¡¢ 9-5. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

9.4 734ª« 9-7. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

10 DB* 10-1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11 M7mC­#4P#'C#&DE#8<G8m 11-1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.1 M7CntDisableOut 11-2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.2 M7CntDisableSet 11-3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.3 M7CntEnableOut 11-4. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.4 M7CntEnableSet 11-5. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.5 M7CntInit 11-7. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

xiFM350−1 A5E00164922−01

11.6 M7CntLoadAndStart 11-9. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.7 M7CntLoadComp 11-11. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.8 M7CntLoadDirect 11-13. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.9 M7CntLoadPrep 11-15. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.10 M7CntPar 11-17. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.11 M7CntRead 11-19. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.12 M7CntReadDiag 11-21. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.13 M7CntReadLoadValue 11-22. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.14 M7CntReadParError 11-23. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.15 M7CntReadStatus 11-24. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.16 M7CntResetStatus 11-26. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.17 M7CntStart 11-27. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.18 M7CntStop 11-28. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.19 M7CntStopAndRead 11-30. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.20 M7CNT_DIAGINFO 11-31. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.21 M7CNT_PARAM 11-33. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.22 M7CNT_STATUS 11-36. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

11.23 8$ 11-37. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

12 ®¯ST>°± 12-1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

12.1 A3@8LEDgTj®¯²³ 12-2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

12.2 °±* 12-3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

12.3 K'8 12-7. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

12.4 ´µ­'8 12-9. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

A IJ¶· A-1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

B 4µ7¸ B-1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

C ¹º C-1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

»¼½ Glossary-1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

¾¿ Index-1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

xiiFM350−1

A5E00164922−01

À 1-1 S7−300 lFM 350−1 MF 1-6. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 1-2 FM 350−1 0123À 1-7. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 1-3 FM 350−1 LÁÂÃSIMATIC S7−300 qÄ 1-10. . . . . . . . . . . . . . . . . . . . .

À 2-1 $KÅ#A$%&' 2-4. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 3-1 FM 350−1 "#$%&' 3-2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 3-2 <#&m9#'35V#$¨ÆÇÈ 3-7. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 3-3 <#&m9#'324V#$¨ÆÇÈ 3-8. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 3-4 D3ÉG3D3ÊËLÌQ FM 350−1 3-9. . . . . . . . . . . . . . . . . . . .

À 5-1 FCLOQÍÎ@"A8p FM 350−1£K'ÏÐ 5-2. . . . . . . . . . . . . . . . . . .

À 5-2 YZ[\]^_` 5-31. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 5-3 wz 5-32. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 5-4 45'4ÑHLmH 5-34. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 5-5 bcdDÉ#4 5-35. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-1 P#d~ 8-8. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-2 P#'P#ÒÓÔÕXÖ×P#dd 8-10. . . . . .

À 8-3 P#'P#ÒÓÔÕXÖ×P#dd 8-11. . . . . .

À 8-4 P#'P#ÒÓÔ¨#Ö×P#dd 8-12. . . . .

À 8-5 P#'tuw~LØijP#d 8-13. . . . . . . . . . . . . . . . . . . . .

À 8-6 P#'P#ÒÓÔÕXÖ×XuP#d 8-15. . . . . . . . . . . . . . . . . . .

À 8-7 P#'P#ÒÓÔH@Ö×XuP#d 8-16. . . . . . . . . . . . . . . . . . .

À 8-8 P#'P#ÒÓÔ¨#Ö×XuP#d 8-17. . . . . . . . . . . . . . . . . . .

À 8-9 P#'tuw~LØijXuP#d 8-18. . . . . . . . . . . . . . . . . . . . .

À 8-10 ­Ù3¡¢~gTj 8-21. . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-11 734¡¢~gTj 8-22. . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-12 gTj 8-23. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-13 P#d : ¨#Ú 8-24. . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-14 P#d : ¨#Û± 8-24. . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-15 SW(SW_GATE)gSjP#dÜÝ 8-25. . . . . . . . . . .

À 8-16 HW(HW_GATE)gSjP#dÜÝ 8-25. . . . . . . . . . .

À 8-17 xywgh]QP# (V2 > V1Ö×) 8-31. . . . . . . . . . . . . . . . . . . . . . . .

À 8-18 xywgh]QP# (V1 > V2Ö×) 8-32. . . . . . . . . . . . . . . . . . . . . . . .

À 8-19 734Þ0 msßXàdF 8-33. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-20 á45mD4âãL³i¹äÀ 8-36. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-21 xywåælP#ÒÓÔçvQ]^L³i¹äÀ 8-36. . . . . . . . . . . . . . .

À 8-22 á45mD4âãL³i¹äÀ 8-37. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-23 DI Set.|gTj1èX 8-40. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-24 DI Set.|gTjé¦èX 8-41. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-25 ê"&gTjP#' 1èX 8-42. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-26 ê"&gTjP#'é¦èX 8-43. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-27 P#'8HU /b(P#'tuw = 0 8HUëìíîïÔH1 ) 8-45. . . . . .

À 8-28 P#'8HU (P#'tuw = 0 8HUëìíîïÔH1 ) 8-47. . . . . . . . . . .

À 8-29 WX_ 8-50. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-30 WXWX_½ðÀ (F: ¤¥¦WX ) 8-52. . . . . . . . . . . . . . . . . . . . . . . . .

À 8-31 WX0gSjñòw0ó' 8-53. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-32 C#&DE#gTj¤¥¦WX 8-55. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-33 C#&DE#gTj RPMWX 8-57. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-34 C#&DE#gTj¤uWX 8-59. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-35 ­Ù3¡¢~gTj HW 8-62. . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-36 734¡¢~gTjHW 8-63. . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-37 gTjSW 8-64. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 8-38 SW(SW_GATE)gSjP#dÜÝ 8-65. . . . . . . . . . .

xiiiFM350−1 A5E00164922−01

À 8-39 HW(HW_GATE)gSjP#dÜÝ 8-65. . . . . . . . . . .

À 9-1 <#&m9#'35V#$¨¡¢ 9-3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 9-2 24V734#$¨ (ÒÓ­Ù3ô )¡¢ 9-5. . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 9-3 SINGLEª« 9-7. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 9-4 DOUBLEª« 9-8. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

À 9-5 QUADª« 9-8. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

xivFM350−1

A5E00164922−01

² 1-1 .|Å3' 1-3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 3-1 "#$%&'* 3-3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 3-2 24 V#$¨¡¢.|Å3' 3-5. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 3-3 K1'3.|.|Å3' 3-6. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 5-1 DBgôjwz789' (P#0 ) 5-8. . . . . . . . . . . . . . . . . . . . . . . . . .

² 5-2 DBgSjwz789' (WX0 ) 5-9. . . . . . . . . . . . . . . . . . . . . . . . . .

² 5-3 DIAG_INFC#&DE#789' 5-11. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 5-4 F lD#õ3 5-13. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 5-5 P#0QR$#"3<#'4 (?|) 5-17. . . . . . . . . . . . . . . . . . . .

² 5-6 P#0gij~ÑH½ð 5-19. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 5-7 P#0QRUH&VH&<#'4 (.|) 5-20. . . . . . . . . . . . . . . . . . .

² 5-8 P#0gijUH&VH&ÑH½ð 5-22. . . . . . . . . . . . . . . . . . . . . . . . . .

² 5-9 WX0$#"3<#'4 (?|) 5-24. . . . . . . . . . . . . . . . . . . . . . . . . .

² 5-10 WX0gij~ÑH½ð 5-26. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 5-11 WX0QR$#"3<#'4 (.|) 5-27. . . . . . . . . . . . . . . . . . . . . .

² 5-12 WX0gijUH&VH&ÑH½ð 5-29. . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 5-13 K'­$DS 2 5-34. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 8-1 FM 350−1 0123P#0 8-4. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 8-2 P#w 8-6. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 8-3 FM 350−1 $# 8-7. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 8-4 ?|K'DO0 8-30. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 8-5 ?|K'DO1 8-30. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 8-6 K1'3?|dQRö÷øëì 8-34. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 8-7 á45mD4âã 8-35. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 8-8 FM 350−1 0123ÔùúWX0 8-49. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 8-9 P#w 8-53. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 8-10 FM 350−1 ûü$# 8-54. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 8-11 ýþ£?² 8-55. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 8-12 ýþ£?² 8-57. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 8-13 ýþ£?² 8-59. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 8-14 DO0 K1'3?|d 8-67. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 8-15 K1'3?|DO0 8-68. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 8-16 K1'3?|DO1 8-68. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 8-17 ç¦OB40_POINT_ADDRÑH* 8-70. . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 9-1 FM 350−1#$¨ 9-2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 9-2 .|789'*gTjP#ÒÓ 9-6. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 9-3 .|Å3' 9-6. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 10-1 DB* 10-1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 11-1 789'*K'Çȶ· 11-34. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 11-2 ´µ­'8 11-37. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 11-3 P#'C#&DE#8 11-38. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 11-4 789'*8 11-39. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 12-1 °±K'HDS0* 12-4. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 12-2 °±K'HV< 411lÑH* 12-5. . . . . . . . . . . . . . . . . . . .

² 12-3 K'8¢© 12-7. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² 12-4 ´µ­'8¢© 12-9. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

² B-1 4µ7¸ B-1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1-1FM350−1 A5E00164922−01

FM 350− 1

FM 350− 1

!"#$%FM 350− 1&'()*+

FM 350−1 ,S7−300/M7−300 -./01234.056# FM 350−1

789:3;<48

1.1 FM 350− 1 1-2

1.2 FM 350− 1 1-5

1.3 FM 350− 1 1-7

1.4 FM 350− 1 1-10

1

=>

1-2FM350−1

A5E00164922−01

1.1 FM 350−1

FM 350−1

FM 350− 1 S7− 300/M7− 300-./01234.0?'

@ABCDEFG HIJKLMN:EFGO P1

QR

0S 4,294,967,295 (0S 232 − 1)A

−2,147,483,648 S +2,147,483,647 (−231 S 231 − 1)

EFGTU7VWXYZ[\3]TU^R_:RO7V500 kHz

FM 350−1 #?`HIMaOb

cdEF4LM

efEF4LM

ghEF4LM

YZ[ig

jkDlig

Yhig

m nop-./0q(r4Fstu4)AvwTU(xnFstu4)yz

|~^b

P25cXRzA2# PbEF

GGO2yz@,5cXO-.LM#|

@^gb

FM 350− 1PEF4#|@#gbEFGh,z

EF4EFGhgb

FM 350−1 AR.t].OfA ¡AEFG

¢.£¤ ¡CPU-.R¥¦#4§¨b

=>

1-3FM350−1 A5E00164922−01

FM 350−1 HIyzOfA ¡©ªR¥¦#4§¨b

vw«¬­®¯°

5.2 VDC\3]­±¯°

O²0³GR´µ¶z:A²0³GR´µ\0OQ@

F·¸¹n¸/O4§¨¶zA

RAMº»

-.R¥¦¼½

TUABAN¯°

FM 350−1 ¾GX'²¿#gb²¿5c¾GX

g@À¶#g²¿0S500 msÁ#gbÂÃ

X&'¶z²¿#g@,^R FM 350−1 #ÄÅt¹\G&'

b

FM 350−1 !

FM 350−1 HIrTU#ÆÇb

ȧ³G: 5 V\3]

ȧ³G: 24 V\3]

ÃÉÊË#gA 24 V²\3]

̧tBERO:Í^:ÃÉÊË: 24 VÈÎ\G

w1 MHzGÈqË

"#$%

vÏ#Ð@AB 24 VWX A* B*~^N*,¾GWX'ÑÒ:ÓGÔÁ

WXÓG(RC\ʳ4)²0³G#R´µ@,ObHI2WXÓG#?

'b

1-1

1

( )

2

1 µs 15µs

200 kHz 20 kHz

2.5 µs 25 µs

&'()

FM 350−1 #S7−300 ~^M7−300 qÕÖ×&'b

=>

1-4FM350−1

A5E00164922−01

*+()

FM 350− 1#IM 153− 1IM 153− 2~^IM 153−3ØÙET 200MÚÛ?'b

'Ü"HI,~R

ET 200M#/¸-Ê´b?'@ ¡

ET 200M#tÓ2¸-Ê´b?'@ ¡

ET 200M # ݶzA.¸QR nÊ2,?'@ ¡

ET 200M#HqÞß n?'@ ¡

ET 200M#Hqwd n?'@ ¡

$,-./012

t¸-/Ên,/àáAB STEP7 HWConfig (V 5.2)#â'FM 350−1 ã

ÊÓ/q³ §qFstäå#]F.nb

qFstäå#|@, FM 350−1 æqFstçè¶z

éÃêqFstäå#ÖªAëìA ¡FM 350− 1?'b:+:

R

qFstäå#8Ñl|ëì@~íî+ï¶

CiR

FM 350− 1CiRðñRCPURUNòóôä^RFM 350− 1²0³G

#ôäb²0³G#ôä@,FM 350− 1§¸4¶zõö×÷òó¶z

FM 350−1 op-./0qLMÖ²0³G#ôäb (ø4ùú)

345-6

?'@STEP7 V 5.2òóû%FM 350−1 #üýÔ nAýÔ nyz

þMb

=>

1-5FM350−1 A5E00164922−01

1.2 FM 350−1

FM 350−1 789:

FM 350−1 :&'()CYZ[TUOEF4¶zgEFGG

CDûO4§¨¶z@()

",HI^:8OQR

²¸/-04

rÓ/-04

¡A¡-04

=>

1-6FM350−1

A5E00164922−01

FM 350−1 ;8<

"E4g[w>#@ OQR FM350−1 1EFG

w>#EF4w>' G,E4' G#

E4OáQ@ ¡3ËË4A̧tEF4O|3

ËË4B Gȸ¹O:RE4-./0q¶zA[w>Oz@

¡FM 350−1 3ËË4B G#E4#Rè+AB3ËË4 A

Gȸ¹#E4O̧t@,EF4#|b

FM 350− 1

A

B

1-1 S7−300FM 350−1

=>

1-7FM350−1 A5E00164922−01

1.3 FM 350−1

1-2FM350−1 ,.43<G,.4²<#%A#

SIMATIC

LED

!

"##$

1-2 FM 350−1

FM 350−1 .43<GØÙHId#

5 VA24 V\3]TU

\3]­±

EFG|~^g'¾GWXTU

¾GXTUQ0~^Q1

\3]­±­®ó'«¬­® 1L+

¾GX' !­® 2L+

.43<G"Ü#$@ OQR (´ÇBùú)

=>

1-8FM350−1

A5E00164922−01

%LM.43<G#&,.43<G3¾Ó/O¦¡

'(.43<G FM 350−1 d@ïµ

3¾Ó/3<G FM 350−1 #?'¶z@\3]TUg@AB?)z

HIgON :

!"#$%&'

A 5 V()&' (*+)

D 24 V&'

3¾Ó/3<G FM 350−1 *+QR

5cTU,#-b¥B@0ËO ./¶z

0R´µ.4²<w12¶z

FM 350−1 ]3U,.4²<IwQR

qFst!Ô#zqFstäå^R

äåb

!"#

S7− 300/M7− 30014$TØÙ5)zFM

350−1 ¶z

=>

1-9FM350−1 A5E00164922−01

#$#%&'() LED

FM 350− 1 FM 350− 1©ª'~^G,¾GWX6'8

LEDOQR

I6LED,'0Ë7~^#

SF :

CR ;

DIR ! ; "#$%&'()*+ LED",-$./0

I0 DI12

I1 DI34

I2 DI5

Q0 67DO0

Q1 67DO1

=>

1-10FM350−1

A5E00164922−01

1.4 FM 350−1

FM 350−1 #S7−300 8¡@¶zA CD3Ó/ʲ¸#?'

3Ó/ʲ¸HI8Oz

²0³G]Èt./´b²0³GR´µr4Fst

CPU(2.¸)'r4Fst

n9³4

FM 350−1 #M7−300 8¡@ABr4Fstø 6~^ø11

1-3FM350−1 ,+TU #:¦¥;ï S7−300 òó#

FM

350−1 FC

CPUFM 350− 1

STEP7PC/PG

!"#$%&'(#)*+,-&.

1-3 FM 350−1SIMATICS7−300

FM 350−1 SDB

CPU !"#$

%&'()*+,-./%&'()*0

12342567748" STEP79.:;<

=>?@

1-11FM350−1 A5E00164922−01

S7−300 CPU

CPUABC8DEFCPUGH012I.:;<J FC CNT_CTL1CK4LM4.

NOPFCCPUQFM 350− 1RST+UVFM

350−1AFCDIAG_INFCK4LM4WX&&YZ[\+ FCCNT_CTL1DB

#$./

=>?@

1-12FM350−1

A5E00164922−01

FM 350−1 ]&'(Q]&^

2-1FM350−1 A5E00164922−01

FM 350−1

_.FM 350−1 ]&'(Q]&^`Pab

]&'(cdeJf@XJg+ab FM 350−1 h4Ci2jLM4kl

m;]&'(nJdeQo48+ab

FM 350−1 ]&'(Q]&^pq+q+rab

2.1 2-2

2.2 FM 350− 1 2-3

2

FM 350−1 ]&'(Q]&^

2-2FM350−1

A5E00164922−01

2.1

FM 350− 1+stuvwS7− 300+048xLyIzJ|~(

P@""WX&""m;-`P!F"/1/.ab

P

/+m/J|+Jf@WX&(

40 )

350− 1CK4LM4 !"T !"Q18411e18]

&'(JQW./

!F"/1/../JQh4Ci2jLM4+abP`

de¡¢+£¤¥

1. 1¦8`SMxFM+./

2. § !"¨xD4yi42j"©PFM

350−1 40 mm]&'(¨Wf@.

3. §5V60j46ª«CPU¬­®J¯ !"°ª±²³

´FM 350− 1ª±²³´160 mA.

4. §CPUBC8DEFWFM 350− 1QSTf@QJµ

FM 350−1 ]&'(Q]&^

2-3FM350−1 A5E00164922−01

2.2 FM 350−1

FM 350− 1]&'(¶·¸¹pº(ESD»7¼74)f@X&½v

!"

FM 350−1 ]&'(Q]&^ 4.5 mm 7¾¼76Wf@.

#$%&' (() )*

FM 350−1 +D4yi42j"]&'(J¿h5i42À+ÁPÂ-Jf

@WX&¤ÃÄAJT70Åh5i42À%&'(Â+ÆP

(/1/ÇÈÉ)

A 5 V

D 24 V

FM 350−1 ]&'(Q]&^

2-4FM350−1

A5E00164922−01

h5i42hÊËÌ+ÍÎÂ~½Jf@WX&

2-1

FM 350−1 ]&'(Q]&^

2-5FM350−1 A5E00164922−01

FM 350−1 D4yi42j"Å]&'(

1. CPU+ÏÐÑÒÓ&ÔÕ

2. FM 350−1 Ö×6WØÙPÖ×6+ FM 350−1 ÚÛ !

"6hÊ­®(6hÊÜÛX&Ý­J !"+Þ(

P|WX& )

3. FM 350−1 +j"ß(à-Â

4. FM 350− 1Ê +á (á'(8"mâ 0.8ã1.1 Nm.)

FM 350− 1¬Û !"+]&'(J|äå !"Ö×6+

FM 350− 1¬p60j46hÊ­®

FM 350−1 Wæ !".XJ|Ö×6+­®P.wP !

5. FM 350−1 18çè"+é&x CPUê'¾46ë7"+

ìA

!F" /1/.ÄAJ¾46µ42íQ18ç­®+abP

6. L"¼Fî48+]&'(

/

FM 350−1 ]&^

1. C148hÊïªðQñòªð7î+óC

2. CPU+ÏÐÑÒÓ&ÔÕ

3. C148Ê"+ô/[email protected]è"+]&õ/

4. C148hÊ+öõ÷/<

5. !"ø-Ê +Þ

6. !"+D4yi42j"^ùC+^

7. f@úûP !"+]&'(

!F"/1/. !"]&'(Q]&^nJâüde¡¢+abP

FM 350−1 ]&'(Q]&^

2-6FM350−1

A5E00164922−01

FM 350−1

3-1FM350−1 A5E00164922−01

FM 350−1

FM 350−1

!"#$%&

'()*

+,-./012

345678&9:8;8<=>?

@A<B>C3D9EFGHI,.<=, <

3.1 3-2

3.2 3-7

3.3 !"#$%$ 3-10

3

FM 350−1

3-2FM350−1

A5E00164922−01

3.1

JKLMNH .DOPQ,R@AST@UVWXYZ@U320[

'(

\3-1GHI,.]^ VWX[3#$%&E_.`^3a

DIR

Q1

Q0

I2

I1

I0

1

2

3

4

5

6

7

8

9

0

1

2

3

4

5

6

7

8

9

0

1

1

1

1

1

1

1

1

1

1

2

1

2

3

4

5

6

7

8

9

10

1 L+

1 m5,2 V DC

24 V DCA A*

A

B B*

B

N N*

N

20

19

18

17

15

14

13

11

2L+

1 m

2 m

CR

350− 1AH03− 0AE0

SF

FM 350− 1

3-1 FM 350−1

FM 350−1

3-3FM350−1 A5E00164922−01

3-1

!"/#" $%&

'()

1 1L+ !" 24 V'()

2 1 m !" '()*

5 V+,RS

422-.

24 V+,-

/.

0123456

789:24 V

;<2

24 V

=>%+

3 1 m #" +,*

4 5.2 VDC #" 5.2 V+,

5 24 VDC #" 24 V+,

6 A A* !" +,?@A +,?@A*

7 A !" +,?@A −

8 B B* !" +,?@B +,?@B* 01?@ −

9 B !" +,?@B −

10 N N* !" +,?@N +,?@N* −

11 N !" +,?@N −

12 − − −

A!"BCA#"

13 I0 !" A!"DIDE

14 I1 !" A!"DIFG

15 I2 !" A!"DIHI

16 − − −

17 Q0 #" A#"DO0

18 Q1 #" A#"DO1

JK)

19 2L+ !" 24 VJK)

20 2 m !" A!"BCL#"MJK)*

( )CPU !

"#$%&"'() 2(1M)CPU*+,-./01234'"/%

5678/09:;6<=>CPU?./01234'"/%

FM 350−1 @A

3-4FM350−1

A5E00164922−01

1L+ 1M

24 VBC=55 VDEF24 V =1L+DEF1M()."/%

GHIJK GLM3NOPK5QR=STUVWXY"/%

;NOPKQR=.5Z["/%

5.2 VDC

;NOPKQR= 1L+/1M VW5 V \]XY ‘5.2 VDC’.

-^-_0`aC 300 mA-5.2 V=5bc"/% \]3def

g "/%

24 VDC

24 V==1L+/1M 5hi"j ‘24 VDC’k\]XY5hi

"/% \]3Z[ "/%

5 V A/A B/B N N

5 VlmGgnoK 5 RS 422pqjrstug&"'lm

A/AB/BNDEFNGgnoK .-_"/%

A/AB/BNDEFNv w JxK37!j()-. "/%

NDEFN5 yszg|j!9:8."/%

S7−300 ~VW !"/ (;O5 )%

24 V A* B* N*

24 VA*B*DEFN*- "/%

30 5.-_"/%

24 VGgnoK

A*B*DEFN*v w JxK37!j()-. !"/%

xK!K

()A. !"/%

xK40K %

t() A*. !"/%xK() B*. !"/%

S7−300~VWBC !"#$ (3-3O5% )%

24 V

65/0j 24 V A* B*DEFNrK-rK

(RCot )Jo5'780;?3-_"/% rK5-_"/%

FM 350−1 @A

3-5FM350−1 A5E00164922−01

3-2 24 V

1

( )

2

1 µs 15µs

200 kHz 20 kHz

!"# 2.5 µs 25 µs

DI DI DI

DIDI !"

#$%&' #$()*+, (-8./0)

DI1' 23456789:2;<

7=>? 24 V@AB

S7−300 CDEFGHIJK<LM

FM 350−1 NO

3-6FM350−1

A5E00164922−01

PQR89:2 I0 I1I2STUVWXYVW(RC&

!Z)2[\Z]^_`8a%*bc2dVW

3-3

1

( )

2

1 µs 15µs

200 kHz 20 kHz

2.5 µs 25 µs

DO0 DO1

FM 350− 12 #$e$1DGfgh89:2d iDO0%DO1*j

^

i 2L+kl>?mnK<

i S7− 300CDEFGHIJK<LM

i oDij^ kl>H0.5 A$p iqkl%

rsEFtuK<LM

vw

g!%#x PyzUBGff|

iXY~ [\Z]^_`2LU^2dML -8.

BLM

kl>?2L+/ 2M

iDO0DO1mn82 2L+2M24 Vkl>?*

2mnK<LMU`<U^

\p* kl>?~EFtuB

kl>?2L+/2M FM 350− 12LK<LM

FM 350−1 NO

3-7FM350−1 A5E00164922−01

3.2

8%2 bc8*j^

DI DIDI1' pK<LM8*j^

¡¢ pK<LM8*j^

9%£ ¡¢ [D&#% ¤¥() p¦'

§Z2L p8*j^

xgZ5V&#A/A B/BN/N ¨D©¦U`<

U^

A

B

N

5.2 VDC

1 m

6

7

8

9

10

11

3

4

N

B

A

3-2 5V !"

V$#ªx 2(1M) CPUf«2¬BL­®¯f|8*j^&#

2Py>?mn8°± aPy>?f«²CPUf«2f|8*j^

FM 350−1 NO

3-8FM350−1

A5E00164922−01

A *

B *

N *

24 VDC

1 m

6

8

10

5

3

3-3 24V !"

x$D1x ³*0.25mm´1.5 mmµ¶~2%·B

vw

&#>¸* EFmnK<LM8°± x$D1x ³

>?¹c*ºL²&#2U>?mn82»¼U½KU`<U^

a< 2xgZ 5V&#°±2¾L^

¿¦&pVÀÁ¿¦&pVÀ8°± DIN 46228

Form AÂÃÄ2ÅÆML , IJ\BUM²BLÇ·KM !

FM 350−1 NO

3-9FM350−1 A5E00164922−01

NOÈÉ

V$#ªxNO8°± bcÈÉ2ÊLÇ·KM

!ËÌ

Í2ÎÏÐÑ<*j^

FM 350− 1>¸<9V$#ªxNO8°± >Ò28 ³'x9:2`

*8Ñ<*j^

FM 350− 1NOÓÔ°± Õ>¸ÖLÇ·KM !

1. V$[ªML V$#ªxNO×Ø2Ø

2. ÙOEFIJÚÛ^Ü (ÝK6 mm)

3. ¿Þ&pVÀBLME ?

BLM8°± ¿Þ&pVÀÙO2±;

4. _ßD!ggVx\eV$#ªx2àB

5. ¿Þ* cy2j8°± cEFNOB á<bP°± âEFNO

B]^_`F<LMUMã:_`(ã:_`x0.6´0.8 Nm)

6. §ÀD!ggVx\eã:

7. V$#ªx@A×Ø2äBåæ

8. p p¦'§Z9 pC2çB

9. 2\"_`

3-4 #$%&' FM 350− 1

FM 350−1 NO

3-10FM350−1

A5E00164922−01

3.3

>¸èé [\Z*·ê¡K<UM% DDbc%^

UB 9e

5 V ë@¡¢Vì6728 \'xBíîUB SINGLEïð

(9− 4© /0 )

ñò32ó'

DDô$

DI1'(ô$õx )2867ö

I0 I1I2÷ø : àù1 µs

(ú½ûüý : 200 kHz úþ[D : 2.5 µs)

24 V ÷ø : àù1 s

(ú½ûüý : 200 kHz úþ[D : 2.5 µs)

iDO0DO1

[D = 0

e$1D]^åæ1'UB

@Ap ’| ’1'

DD¡¢æ

FM 350− 1

4-1FM350−1 A5E00164922−01

FM 350− 1

!"#$%

& FM 350− 1'($)*+,

-./01#$%

4.1 4-2

4

FM 350− 1

4-2FM350−1

A5E00164922−01

4.1

2345

CPU678&9:450;<=>#$%

STEP7 ([email protected])0C<$)PG&D"E=>FG)%

9:HISTEP7 ([email protected])&JKF##$%

-LMNM6?0 CPU&D"EOP=>FGQ>RQ#ST%

CPUUVWXQ>RQ#ST%

YZ

MPI[\6]^_& S7− 300`@ab /bc"deQGEf=G%

ghiMjkAlm@nopq CD&rs=>FG#$%ghiMjkAlm

@$)&9:tuvd"FEf=G%

1. wx=>FG)ghiMjkAlm@01>Ry>z"#$%

2. PG#|PCCD~&CD>#$%

3. Windows 95/Windows NT/Windows 98+[gL ][h ]

g~l"Fh|LMl#$%

4. LMlCD~"FMx50− 1\Disk1G6ij

Setup.exeh"F"#$%

5. -LM&FI=>)HI&G#$%

: ghiMjkAlm@g9:6ij&=>

#$%

− SIEMENS\STEP7\S7LIBS\FMx50LIB: FCUDT

− SIEMENS\STEP7\S7FCOUNT:ghiMjkAhReadme,-

− SIEMENS\STEP7\EXAMPLES:

− SIEMENS\STEP7\S7MANUAL\S7FCOUNT:GettingStarteda

YZ

STEP 7$)& SIEMENS\STEP79c6ij"|+y6ij0 =>#$%

FM 350− 1

4-3FM350−1 A5E00164922−01

FM 350− 1¡¢£$&9:tuvd"FEf=G%

1. ¤Ll&¥¦ "#$%

2. ¥¦~l"#$%

3. &I=>)ghiMjkA§¨©ª«)LMl¬OK­

"F©ª"#$%

FM 350− 1

4-4FM350−1

A5E00164922−01

5-1FM350−1 A5E00164922−01

FM 350− 1

S7− 300FM350− 1 FM

350− 1 ! "#$%&'($)*+,-. STEP7/ 0

$123

4STEP7/ 0$56783

FC 2 CNT_CTL1 FM 350− 1

FC 3 CNT_CTL2 FM 350− 1 ( )

FC 1 DIAG_INF FM 350− 1 1

/ 0$9: ";<=783 ";/ 0$>?@3A3B

C D/ 0$E

FM 350− 1FG HI?JK0$L0$MD 'K NOP4FG HQRSDTU

FC9:VWFM 350− 1+,XYZ[4

!" #$ %&

5.1 CNT_CTL1'(!" (FC2) 5-3

5.2 CNT_CTL2'(!" (FC3) 5-10

5.3 DIAG_INF'(!" (FC1) 5-11

5.4 )*+ 5-12

5.5 *,- 5-15

5.6 FC.*/01FM 350− 123453 5-16

5.6.1 67 (89):; <=>?@AB

'@C

5-16

5.6.2 DE:; <=>?@AB'@C 5-25

5.6.3 FGHIJKLM*1:B'@C.* 5-32

5.6.4 NOPQR 5-36

5.7 CPUSTOP<=>CPUSTOP− RUNST UVJ 5-37

5

FM 350− 1

5-2FM350−1

A5E00164922−01

FC FM 350− 1

\]^I_C D`a783

CPU

FM 350− 1

DBx

FC2

.

.

.

.

( )

5-1 FC !"#$ FM 350− 1%&'

STEP 7 ! "#$%& '$()

bcFC9:d%Fef fXgh:6 ! "diFM 350−

1FG HMD 'KNI?'j fL0$MD 'K Nk$lN4m25+,

nopqX4mVr

()FC *$+,

CNT_CTL1-#.

CNT_CTL2/012

+,*34 : T PAD

CNT_CTL1-#. CNT_CTL2/

5212

*34 : L PED

FM 350− 1

5-3FM350−1 A5E00164922−01

5.1 CNT_CTL1 (FC 2)

CNT_CTL1'($)*C DCPUDBstu6CNT_CTL1'($

)*vwxDBdiFMC Dgh3 FMdiC Dyz@3

z|E !~C DDM:6C D/ 0$X3 STEP7DB,3

N'(MHX3UDT 23UDT2FCMNG HoFMx50LIBX>

/ 0$M/#F u6 UDT 24mVr K$G UDT 2FCX

F 3FM 350− 1 \]C D CNT_CTL1'($)*

DBz|<z

R HkfN

fKkF'j )*oR HkfN (FM350− 1kfN )3

HWF'j )*oR H3[R HkfN]D$#0$3[

j]Mk diC D/ 0$X4 DBkfN4m

JHkfN

JHkfNMD' e0G4R HkfNXn 4

!C D¡

!C D¡ 164

¢ Dz|£¤ (¥¦§¨Getting Startedwith Commissioning©ª«)%

! "I_DB¬st4m

FM 350− 1

5-4FM350−1

A5E00164922−01

\]OB100<R HkfNJHkfNI? !C D¡gh­®;

A3

;%&)H /H\]z|6

CNT_CHAN1 DB 1 DB

STL\]Iqgh "3

STL

L 512 // = 512

T CNT_CHAN1.MOD_ADR //

L P# 512.0 //

T CNT_CHAN1.CH_ADR //

L 16 // !" = 16

T CNT_CHAN1.U_D_LGTH // !"

Call

vwx<6o¯°±4<6²oz³EOB4CNT_CTL1'($)*>?

@X4mM´G°±z³E "4CNT_CTL1'($)*>?@

X4mVr

STL®I?LAD®CNT_CTL1'($)*>?@3\]A3

STL® LAD®

CALL CNT_CTL1 (

DB_NO := , CNT_CTL1

SW_GATE := , EN ENO

GATE_STP := , DB_NO OT_ERR

OT_ERR_A := , SW_GATE

SET_DO0 := , GATE_STP

SET_DO1 := , OT_ERR_A

OT_ERR := , SET_DO0

L_DIRECT := , SET_DO1

L_PREPAR := , L_DIRECT

T_CMP_V1 := , L_PREPAR

T_CMP_V2 := , T_CMP_V1

C_DOPARA := , T_CMP_V2

RES_SYNC := , C_DOPARA

RES_ZERO := ) RES_SYNC

RES_ZERO

FM 350− 1

5-5FM350−1 A5E00164922−01

CNT_CTL1

... ...

DB_NO INPUT INT

!

"#$%

&'(

"#$%

SW_GATE INPUT BOOL )*+,

‘SW-,’(.//01)

2,

34562,"#$%

&'(

"#$%

GATE_STP INPUT BOOL )*+,

‘-,01 ’

2,

34562,"#$

&'(

"#$%

OT_ERR_A INPUT BOOL 789:;<=>?

@$

2,

34562,"#$

&'(

"#$%

SET_DO0 INPUT BOOL DO02,/62, 2,

34562,"#$%

&'(

"#$%

SET_DO1 INPUT BOOL DO12,/62, 2,

34562,"#$%

&'("#$%

OT_ERR OUTPUT BOOL AB"C789: &'(

"#$%

2,

34562,"#$%

L_DIRECT2) IN− OUT BOOL , :

‘D9,EFG

H’ ./+,

&'(

"#$%

2,

34562,"#$%

IJ:

2,KL#MN

L_PREPAR2)

IN− OUT BOOL , :

‘FGH ’ ./

+,&'(

"#$%

2,

34562,"#$%

IJ:

OPQRS

"#$% 34562,"#$%

T_CMP_V12)

IN− OUT BOOL , :

‘TUQ1VR’./+, &'( 2,

IJ:

WPQRS

"#$% 34562,"#$%

T_CMP_V22)

IN− OUT BOOL , :

‘TUQ2VR’./+, &'( 2,

IJ:

XYZ[

"#$% 34562,"#$%

C_DOPARA IN− OUT BOOL \X./+, &'(

"#$%

2,

34562,"#$%

RES_SYNC IN− OUT BOOL ‘]^’&_&+,`a 2,

"#$%

2,

345&'("#$%

RES_ZERO IN− OUT BOOL ‘bcd ’&_&+,

`a

2,

"#$%

62

,345&'("#$

1) L_DIRECTL_PREPART_CMP_V1T_CMP_V2

2) C_DOPARA

FM 350− 1

5-6FM350−1

A5E00164922−01

FC L_DIRECTL_PREPART_CMP_V1T_CMP_V2C_DOPARA

RES_SYNCRES_ZEROOT_ERR_AFM 350− 1

!"(#$ %& '( )* +* ,-./ )DB01

FC234

567CNT_CTL189:;<=>?@A!/4 (L_DIRECT

L_PREPART_CMP_V1T_CMP_V2C_DOPARARES_SYNCRES_ZERO)BC3

DE?@F@FM 350− 11<G56HIJKLM0@

NFOPQRSTUVWFXHKL

YZ[\UH+]89:; <=>1X ^_KL

L_DIRECTL_PREPART_CMP_V1T_CMP_V2C_DOPARA

L_PREPART_CMP_V1T_CMP_V2C_DOPARA

`a b.^_KL

... !

"#$

%&$1

%&$2

(DB LOAD_VAL)

(DB CMP_V1)

(DB CMP_V2)

'($

)($

*+,

(DB LOAD_VAL)

(DB CMP_V1)

(DB CMP_V2)

Hcdefg% OT_ERR_AUFhij kIJlmnop FM

350− 1Hqrs tuT1FXHKL1v1MH0Uw@ophij k

Kxy?@A zdC3^_

]

89:; L_DIRECTL_PREPART_CMP_V1T_CMP_V2|

LOAD_VALCMP_V1CMP_V2\1ef89:; C_DOPARA|

Xb. ~Uu,1FXBKL

b.vXOT_ERRhij kUFkB OT_ERR_AUlmIJ

nMH0U

^_M.B)?@

FM 350− 1

5-7FM350−1 A5E00164922−01

FM 350− 1

4 OB 1

( ) 5 PROFIBUSDP

( )

1 5 PROFIBUSDP

!"#$%&'(

)*FM 350− 1!+,-$

− 1./ : "#015 PROFIBUSDP2

− 2./ : "#016 PROFIBUSDP2

− 3./ : "#017 PROFIBUSDP2

DB ( )

5-1LOAD_VALCMP_V1CMP_V2 DB

LOAD_VAL(1417)2

!"#$%"L_DIRECT&L_PREPAR'( )*LOAD_VAL+,"

-./012345

!"#$%"C_DOPARA'( )*1467189DO00

DO1:;<=>? 15016@ABC$A0DAE02345

FM 350− 1FGHI"H

5-8FM350−1

A5E00164922−01

5-1 DB ( )

DB

14.0 LOAD_VAL ; ! :

L_DIRECT"#$%&'(

; ! :

L_PREPAR"#'(

14.0 LOAD_VAL )*DO0+DO1,-./ 012345,

! :

C_DOPARA6789:;<=

>?

3

> ?

2

> ?

1

> ?

0

)*DO0@A

X 0 0 0 BC

X 0 0 1 DEFGH I =JKC

X 0 1 0 DEFG$ =JKC

X 0 1 1 34(?L/$)M78DEN+OP

KC

X 1 0 0 34(?L)M78DEN+OPKC

X 1 0 1 34($)M78DEN+OPKC

x = QRS

>?

7

> ?

6

> ?

5

> ?

4

)*DO1@A

X 0 0 0 BC

X 0 0 1 DEFGH I =JKC

X 0 1 0 DEFG$ =JKC

X 0 1 1 34(?L/$)M78DEN+OP

KC

X 1 0 0 34(?L)M78DEN+OPKC

X 1 0 1 34($)M78DEN+OPKC

X 1 1 0 DESTUV

x = QRS

15.0 -./ (WX 0...255)

16.0 34(WX 0..250)

17.0 '(= 0

18.0 CMP_V1 DE1; ! :

T_CMP_V1"8

22.0 CMP_V2 DE2; ! :

T_CMP_V2"8

FM 350− 1FGHI"H

5-9FM350−1 A5E00164922−01

DB ( )

5-2LOAD_VALCMP_V1CMP_V2 DB

LOAD_VAL(1417)2

!"#$%"L_PREPAR'( )*LOAD_VALJK/012345

!"#$%"C_DOPARA'( )*1467189DO0:

;<=>?

L<MNL_DIRECTO<>?PQ

5-2 DB ( )

DB

14.0 LOAD_VAL ; : L_PREPAR

!"#$%

14.0 LOAD_VAL DO0&'; : C_DOPARA

!"#(%

)*+2,7 )*+

1

)*+

0

-.DO0&'

/01 0 0 2345

/01 0 1 6789

/01 1 0 :

/01 1 1 ;:;

15.0 <== 0

16.0 <== 0

17.0 <== 0

18.0 CMP_V1 ;; : T_CMP_V1

!"#$%

20.0 CMP_V2 >?@A ; : T_CMP_V2

!"#$%

CNT_CTL1 !"#$%"RS:(CPURS:TFMRS:)U8 0VWXYZ

[%\]1^.45_-RS:`abcd45>ef&[%\RS:

gh&i]1jklmPQ

FCno8Wpqrstu&)*vv0OT_ERRwx45

syz0?DB1(OT_ERR_B|)~8455OT_ERR_A

DF71pqrs`abcdv0>?pqrs

s`abcd1z45

FM 350− 1FGHI"H

5-10FM350−1

A5E00164922−01

5.2 CNT_CTL2 (FC 3)

CNT_CTL2 !"#$%" CNT_CTL1 !"#$%"0V1 ('#$%

"5.1)CNT_CTL1 !"#$%"0&J

!"#

CNT_CTL2 !"#$%"WOB>;:

CNT_CTL2 !"#$%"WOB>no80pqrs91tuv

0?FM 350− 1>>?PQ

$%

CNT_CTL2 !"#$%" ¡¢£k1¤¥ FM350− 1¦V[%\§ 7¨

©ª1 («: “/GN ” )CNT_CTL1 !"#$%"67 )*¬­

5PROFIBUSDP®#D¯[%\ef CNT_CTL2 !"#$%")*

[%\§2PROFIBUSDP®#D¯tu

°£ef±( 0O<451 )*\G(#>[%\²³>?1[%\

gh´45PQ

w§µ¶·T pqrstu 0\G(#©<[%\¸¹

º v0>?PQ&»\G(#[%\¼¬½¾`abcdv0>? p

qrstu¿ÀOT_ERR_A'( v0Á1s`

abcdPÂÃÄÅ[%\FG'A]1¼¬45 ÁÆÇ

OT_ERR C'(451 )*pqrs¦`abcdv0Á1È

Égh0Ç45Ê1`Ä`abcdv0>? ÁÆOT_ERR_A

O<1Ë¢v0ËÌ»`abcÈÉgh >Í[%\ef1Î

PQ

ÏÐ

WMN>CNT_CTL2 !"#$%"671¤/VWef>?PQ

FM 350− 1FGHI"H

5-11FM350−1 A5E00164922−01

5.3 DIAG_INF (FC 1)

DIAG_INF !"#$%"FM 350− 1'(DS1~ÑCNT_CTL1 !"#$%

"DB>67>? ÁÆvJÁÆÇ

ef'( (IN_DIAG= TRUE)45&)*DS1FM 350− 1~845

DS1DW 54CNT_CTL1 !"#$%"DBÒ945DS1SFC

RDSYSSTDF7145

SFC (RET_VAL)ÓÔNDIAG_INF !"#$%"RET_VALÔÕ4

5

v !"#$%"ÄÅ45 0ÖIN_DIAGefC'(45gh

z45

SFCRDSYSST1×ØÙÚÛD /2/

&'()

DIAG_INF !"#$%"Ü.FGHÝËÁo¸ÞFGHÝ>no8ß>

WàáâFGHÝ>no81ÎPQ

STLãËÁoLADã˺ DIAG_INF !"#$%"no8J

STLã LADã

CALL DIAG_INF(

DB_NO := , DIAG_INF

RET_VAL := , EN ENO

IN_DIAG := ); DB_NO RET_VAL

IN_DIAG

DIAG_INF*+,-./,

5-3 DIAG_INF

... ...

DB_NO INPUT INT CNT_CTL1

!

"#$%

&'(

#$%

RET_VAL OUTPUT INT SFC 51)*+, &

'(#$%-

!"

#$%-

IN_DIAG IN− OUT BOOL ./0123451

DS16789:;$%-

5

1<=>&'(#

$%

?5

1#$%

FM 350− 1FGHI"H

5-12FM350−1

A5E00164922−01

5.4

J« ’+,"-./FM 350− 1 ’ËÁo ‘+,"ef ’ !"#$%"

äÁÆCNT_CTL1 !"#$%"67>? v !"#$%"vv>å !

"#$%"æ1ѵç1

STL

L #1000; //

T CNT_CHAN1.LOAD_VAL; // DB 1 (2)

A INITIATE;

S LOAD_DIRECT; // DIRECT

R INITIATE;

CALL CNT_CTL1, ( // DB 1 !FC"#$%

DB_NO :=1 // &'(1

SW_GATE :=SW_GATE // )*+,-*./*0

GATE_STP :=GATE_STP // -*12

OT_ERR_A :=CON_OT_ERR, // 3456789:;<=

SET_DO0 :=SET_DO0, // SET$DO0

SET_DO :=SET_DO1, // SET$DO1

OT_ERR :=OTT_ERR, // >?%!3456

L_DIRECT :=L_DIRECT, // @A0B

L_PREPAR :=L_PREPAR, // @ACD

T_CMP_V1 :=T_CMP_V1, // @AEF10B

T_CMP_V2 :=T_CMP_V2, // @AEF20B

C_DOPARA :=C_DOPARA, // GH.IJ

RES_SYNC :=RES_SYNC, // KLMLNO*.PQ

RES_ZERO :=RES_ZERO); // R0STLMLNO*.PQ

AN OT_ERR; // 6U>?%V WX

JC CONT; // YZ

// *** 6[\IJ ***

L CNT_CHAN1.OT_ERR_B; // ]^.$_`abcde=f

T OUTPUT; // gh

SET // RLO 1?i

S CON_OT_ERR // 689:;<=

... // jk76:;

JU END; // *** 6[\lm***

CONT: .. // nopqrYZs

AN L_DIRECT; // tu5*0B.CDvm

S SW_GATE; // )*+,-*I ;

END:

FM 350− 1FGHI"H

5-13FM350−1 A5E00164922−01

5-4«>6745&$"èD¿é> S7$"èDB\D>êëìí$"èD¸

¹º<=

5-4

()

CNT_CHAN1 DB 1 CNT_CTL1

CNT_CHAN1.LOAD_VAL DB1.DBD14 DB1 !"# ($%& )

TRIGGER 10.0 m '()*+,-./01234567869:

SW_GATE 20.0 m 8;<:

GATE_STP M 20.1 =8>?

OT_ERR_A M 20.2 @ABCDEFGH8I:

SET_DO0 M 20.3 JKDO08L:

SET_DO1 M 20.4 JKDO18L:

OT_ERR M 20.5 MNO4@ABCD

L_DIRECT M 20.6 !$PB*QR&

L_PREPAR M 20.7 SRT !8&:

T_CMP_V1 M 21.0 UV!1&

T_CMP_V2 M 21.1 UV!2&

C_DOPARA M 21.2 WDXY8;<:

RES_SYNC M 21.3 Z[\]\^86L:

RES_ZERO M 21.4 _`ab@cbd$bUV!befgh

i\]\^C&86L:

CNT_CHAN1.OT_ERR_B DB1.DBB40.0 DB 1@ABCDjk

FM 350− 1FGHI"H

5-14FM350−1

A5E00164922−01

îï

/DB1Ò9&

+,"-./45ef

ðñ"òD+,"-./FCno8Á1FM 350− 145

FM 350− 1+,"-./ 67>? 2CNT_CTL1 !

"#$%"no8Wóô45 L_DIRECT&L_PREPAR>

L_DIRECT+,"-./+,"õöËÁo²³Z45 v0<

=(êëFGHÝC÷±( L_DIRECT=1'( )

L_PREPAR+,"-./²³ZGN45 v0<= (êëF

GHÝC÷±( L_PREPAR=1'( )

5²³ZGN45&+,"-./+,"'( øùú>ª745

Ê1óô&C÷±((L_DIRECT&L_PREPAR)FCC'( >FCno

8ûîÒ/8Z'(45&üý>FM0

°1CNT_CTL1 !"#$%"s('[tÅPQ

'(&C÷±( CNT_CTL1 !"#$%"Á1C'(45&)* FM 350− 1+,"

-./ª7DB1Xþ45&~Þ+,"-./CNT_CTL1 !"#$%"

Á145 ((ðO<P;1 )*ª7ß )

+,"-./ Ç¢0ÎFCno84¥Wà

FM 350− 1FGHI"H

5-15FM350−1 A5E00164922−01

5.5

CNT_CTRL

CNT_CTL1

CNT_CTL2

DIAG_INF

FC 0 FC 2 FC 3 FC 1

3.0 3.0 3.0 3.0

RAM 456 796 1426 246

538 950 1578 326

FC !"#$%&' !" ( 70

)*+, 4 46 46 38

!"-./

− SFC 6 (RD_INFO) SFC 6 (RD_INFO) SFC 51 RDSYSST

CPU 316− 2 DP01

23

(456FM 350− 1)

0.98 ms 1.25 ms 2.12 ms 3.19 ms

CPU 316− 2 DP01

23

(786FM 350− 1)

0.78 ms 1.06 ms 1.99 ms 0.87 ms

CPU 416− 2 DP01

23

(786FM 350− 1)

30 µs 70 µs 92 µs 134 µs

92 ::; <: <: <:

=92 <: <: ::; <:

FM 350− 1

5-16FM350−1

A5E00164922−01

5.6 FC FM 350− 1

FC FM 350− 1FM 350− 1 ! "

#$%&'()*+,#-. (/0#"#$% )1

/0#"#$%2 16!"3+456789:%;<.1

9=9>?@ ! "#$%ABCDEF-.1

GH=9@"#$%>I-JB.1

9/GH=9EFC K2L-.MN1

5.6.1 !"#

LOAD_VALOP#(!"0Q3)>22RSTFUV.1

L_DIRECTW.K2L_PREPARWXLOAD_VAL2YZ#[\]E

@^_`a.1

C_DOPARAWX!"0@bcDO0EDO1def

-.1!"1E22g%hij%EO%kE>^_`a.1

FM 350− 1

5-17FM350−1 A5E00164922−01

5-5 ()

!"

#$% &'

(0)3 LOAD_VAL *+ !, ;-:L_DIRECT+./01234

*+ !, ; -:

L_PREPAR+./34

(0 LOAD_VAL DO05DO16789:;<=#>?6-:C_DOPARA+@.

ABCDE !"

-

3

-

2

-

1

-

0

DO0FG

X 0 0 0 HI

X 0 0 1 JK*( LMI

X 0 1 0 JK*0 LMI

X 0 1 1 #>(N/0)OPAJK*O

Q!,5RSOMI

X 1 0 0 #>(N)OPAJK*OQ!,5

RSOMI

X 1 0 1 #>(0)OPAJK*OQ!,5

RSOMI

x = TUV

-

7

-

6

-

5

-

4

DO1FG

X 0 0 0 HI

X 0 0 1 JK*( LMI

X 0 1 0 JK*0 LMI

X 0 1 1 #>(N/0)OPAJK*O

Q!,5RSOMI

X 1 0 0 #>(N)OPAJK*OQ!,5

RSOMI

X 1 0 1 #>(0)OPAJK*OQ!,5

RSOMI

X 1 1 0 JK*OVWXY

x = TUV

(1 789:(*Z[ 0...255)

(2 #>(*Z[ 0..250)

(3 34= 0

FM 350− 1

5-18FM350−1

A5E00164922−01

5-5 ()(\])

!"

&'#$%

(4)7 CMP_V1 JK*1;-:T_CMP_V1+./A !,

(8)11 CMP_V2 JK*2;-:

T_CMP_V2+./A !,

(12 −

NEUSTQ

OT_ERR_A

-7: 34= 0

-6: ^_F`abc

-5: 34= 0

-4: 34= 0

-3: de$`abc

-2: 34= 0

-1: 34= 0

-0: 34= 0

(13 −

SW_GATE

GATE_STP

ENSET_DN

ENSET_UP

-7: 34= 0

-6: 34= 0

-5: 34= 0

-4: 34= 0

-3: SWfgh-

-2: ifjk

-1: 0lmRnMIo

-0: NlmRnMIo

(14 −

SET_DO1

SET_DO0

CTRL_DO1

CTRL_DO0

-7: 34= 0

-6: 34= 0

-5: 34= 0

-4: 34= 0

-3: gh-DO1

-2: gh-DO0

-1: DO1MIo

-0: DO0MIo

FM 350− 1

5-19FM350−1 A5E00164922−01

5-5 ()(\])

!"

&'#$%

(15 −

C_DOPARA1)

RES_ZERO

RES_SYNC

T_CMP_V22)

T_CMP_V12)

L_PREPAR2)

L_DIRECT2)

-7: 34= 0

-6: DO0/DO1p1:q6789:6 ?#>+rs

-5: t uv6( 60 6

JK*8-+9

-4: Rn8-+9

-3: JK*2+

-2: JK*1+

-1: 34

-0: 01234

1) 150123

2) 156

FM 350− 1

5-20FM350−1

A5E00164922−01

5-6

C_DOPARA DO0/DO1 !"#$%&'()

*+0,2-./'01 DO0DO1$%&234567!8

/'9:2;<=>#?/'@ABCDE7!8

CTRL_DO0 Enable DO0

F'GH23IJ DO0'KL2!8

CTRL_DO1 DO1KLM

F'GH23IJ DO1'KL2!8

ENSET_DN F#NOPHQR'KL2!8

ENSET_UP F#STOPHQR'KL2!8

GATE_STP F#UVW'XY!8

L_DIRECT F#QN+Z;[\RGH]^!8

L_PREPAR F#Q[\RGH]^!8

NEUSTQ F#FM 350− 1_`abc'd2!8

e_fFM 350− 1#F'g]^3#Y h3iR%jkQlJ'am2

!8no*pq FM_NEUSTDg]^no*pq FM_NEUSTQ = 0;

rsNEUSTQ#CNT_CNTL1tu3g]^!8

FM_NEUSTD g]^3FM_NEUSTQDFM 350− 1tu3g]^3<

^vCNT_CNTL1tu3 g]^!8

CNT_CNTL1'GH2;<=>wxTRyztu3e_'|BCDE7!8

OT_ERR_A F'GH23~ZQz`abc'd2!8

~ZQzDBC;=>#no*+Qo-.'

67^-.z`abc'dBCDE7!8`abc'd2"f#z

g#KL#;;7!8

RES_SYNC F'GH23no*STS_SYNC' g23`abc'd2!8

rlJDI− Settu3Q'R!8

RES_ZERO F'GH23no*STS_ZEROSTS_OFLWSTS_UFLW

STS_COMP1STS_COMP2' g2!8

SET_DO0 IJ'“L”g23<=>t+%CRTL_DO0Dg]^3<

=>#F'GH23kQ%IJ DO0'~~2!8

SET_DO1 IJ'“L”g23<=>t+%CRTL_DO1Dg]^3<

=>#F'GH23kQ%IJ DO1'~~2!8

SW_GATE F#SWW'5"7XY"72!8

T_CMP_V1 F'GH23*+ 0,3/'/1R2!8

T_CMP_V2 F'GH23*+ 0,3/'/2R2!8

FM 350− 1

5-21FM350−1 A5E00164922−01

5-7 "hno*+Qo (lJ)

SZ

-.~g

2!8

$zQ

*+0,3 LATCH_LOAD kQ%lJzn"h2;Q/!"#

¡]^"Q/

*+4,7 ACT_CNTV Q/

*+8,9 DA_ERR_W kQz

*+10 OT_ERR_B ~ZQz

*+11 PARA

FM_NEUST

FM_NEUSTQ

DATA_ERR

OT_ERR

DIAG

7: ¢£2"$zQ¤7¥5

6: e_C¦

5: ¢£2"e_`abc

4: kQz

3: ~ZQz

2: §¨+©

1: −

0: −

*+12 7: [\= 0

6: [\= 0

5: [\= 0

4: [\= 0

3: [\= 0

2: [\= 0

1: [\= 0

0: [\= 0

*+13 STS_SW_GATE

STS_GATE

STS_SYNC

STS_UFLW

STS_OFLW

STS_ZERO

STS_DIR

STS_RUN

7: SWWQ

6: WQ

5: r

4: SNR

3: ~*R

2: ªR«¬

1: OP

0: Q­®¯

FM 350− 1

5-22FM350−1

A5E00164922−01

5-7 "hno*+Qo (lJ)°

SZ

-.~g

2!8

$zQ

*+14 STS_COMP2

STS_COMP1

STS_CMP2

STS_CMP1

STS_STP

STS_STA

STS_LATCH

STS_SET

7: /2±²Q

6: /1±²Q

5: IJDO1Q

4: IJDO0Q

3: kQ%lJStop DIQ

2: kQ%lJStart DIQ

1: ³s01zn/

0: kQ%lJSet DIQ

*+15 −

STS_C_DOPARA

STS_RES_ZERO

STS_RES_SYNC

STS_T_CMP_V2

STS_T_CMP_V1

STS_L_PREPAR

STS_L_DIRECT

7: [\= 0

6: DO0/DO1

!"#$%&'9:

5: ªR«¬~*RSNR

/Q' g

4: rQ' g

3: /2'R

2: /1'R

1: Q[\R

0: QN+Z;[\R

FM 350− 1

5-23FM350−1 A5E00164922−01

5-8 no*

no*

DATA_ERR F#kQz($zQ¤7¥5z)Dno*+QolJ]^

"F'´2!8

DIAG F#§¨+©'µ¬"h§¨ZiDS1D:0]^g]^!8

¶·ZiDS1D¸!^F# g]^!8KL;§¨¤7¸D<

=>OB167¸¹DIAG_INFº23F'GH!8

FM_NEUST FM 350− 1De_'­®E<#_'«I#<»¼

_D½¾--¿.ÀFM 350− 1DF'g2!8

FM_NEUST#NEUSTQÁÂÃÄD7tu3 g]^!8^-.

FM 350− 1# ;7FM 350− 1-./'6u"7F/'AFD

tÅ;7!8

FM_NEUSTQ FM 350− 1De_'­®E<#_'«I#<»¼

_D½¾--¿.ÀFM 350− 1DF' S2!8

FM_NEUSTD g]^F#g]^!8

OT_ERR F#~ZQzDno*+QolJ]^g]^!8

OT_ERR_AD g]^F# g]^!8OT_ERRDgÆǯ

#].~ZQzDÈÉ23¼µ¬]^!ÊË8

PARA F#Ì%$zQ¤7¥5Dz2­®]^"=>g]^!8

$zQZi#z2Ì%ÄE7!8F#FM_NEUSTQD g]^3-.g]^!8-.no*+QoE/#KL;

ÍÎ/;7!8

STS_C_DOPARA DO0DO1 t$%&rs9:"h`abc8/

'9:2;<=>#?/'@ABCDE7!8

STS_CMP1 IJDO0Q

STS_CMP2 IJDO1Q

STS_T_CMP_V1 /1RÏ`abc

STS_T_CMP_V2 /2RÏ`abc

STS_COMP1 F#IJDO0Dg]^"<ÅÐÑÒQ'´2!8CTRL_DO0'H<3IJDO0DKL;.;<=>F^¼ÓH]^!8ÐÑÒQ#

RES_ZERO'H<3`abc'dFt7 g]^!8

STS_COMP2 F#IJDO1Dg]^"<ÅÐÑÒQ'´2!8 CTRL_DO1'H

<3IJDO1DKL;.;<=>F^¼ÓH]^!8ÐÑÒQ#

RES_ZERO'H<3`abc'dFt7 g]^!8

STS_DIR F#QOP'´2!8

0 = ST (LEDDIR#ÔÕ)

1 = N (LEDDIR#ÖÕ)

STS_GATE F#WQ'´2!8

0 = WX

1 = W

STS_LATCH F#³s<3×f-.2ØÙTi×fTiÚÛ;¼1»01

zn/DÐÑ]^"-ÜÅ-'´2!8FDg]^3<=>

LATCH_LOAD#×0zn/'Ý!801zn/DÐÑ]^3<;<=>F

#g]^!ÊË8F#Þ³s#g]^!ÊË8

FM 350− 1

5-24FM350−1

A5E00164922−01

5-8 no* (°)

no*

STS_L_DIRECT QtQ/N+Z;[\RH`abc8

STS_L_PREPAR Q/[\RH`abc8

STS_OFLW F#~*RDÈÉ2"F'´2!8ÐÑÒQ#RES_ZERO'H

<3`abc'dFt7 g]^!8

STS_RES_SYNC no*STS_SYNC' g2!8

STS_RES_ZERO no*STS_ZEROSTS_OFLWSTS_UFLWSTS_COMP1

STS_COMP2ÐÑ2"Q gÏ`abc8

STS_RUN F#Q 2Ïb2!8

0 = LEDCRDÔÕ

1 = LEDCRDÖÕ

STS_SET kQ%lJSet DIQ

STS_STA kQ%lJStart DIQ

STS_STP kQ%lJStop DIQ

STS_UFLW F#SNRDÈÉ2"F'´2!8 ÐÑÒQ# RES_ZERO'

H<3`abc'dFt7 g]^!8

STS_SYNC F#Set DI+©(r)tu3QDR]^"<ÅÐÑÒQ

'´2!8ÐÑÒQ# RES_SYNC'H<3`abc'dFt7 g

]^!8

STS_ZERO F#/DªRÖ'µß2"<ÅÐÑÒQ'´2!8ÐÑÒ

Q#RES_ZERO'H<3`abc'dFt7 g]^!8

FM 350− 1

5-25FM350−1 A5E00164922−01

5.6.2

LOAD_VAL( 03)2

L_PREPAR !" LOAD_VAL#$%&'()*+,

C_DOPARA !" 0-.'("/0DO0123456

5-9 ()

!" #$%&

' 0(3 LOAD_VAL )*+; ,:

L_PREPAR-./

' 0 LOAD_VAL DO0012; ,:

C_DOPARA-./3

,2(7 ,

1

,

0

DO0012

456 0 0 789:

456 0 1 ;<=>

456 1 0 )*+?)

456 1 1 @*+?@

' 1 AB= 0

' 2 AB= 0

' 3 AB= 0

' 4(7 CMP_V1 @*+; ,: T_CMP_V1-./

' 8(9 CMP_V2 CDEF ; ,: T_CMP_V2-./

' 10(11 − −

' 12 −

NEUSTQ

OT_ERR_A

,7: AB= 0

,6: GH10IJKL

,5: AB= 0

,4: AB= 0

,3: MN!IJKL

,2: AB= 0

,1: AB= 0

,0: AB= 0

FM 350− 1

5-26FM350−1

A5E00164922−01

5-9 ()(OP)

#$%& !"

' 13 −

SW_GATE

GATE_STP

,7: AB= 0

,6: AB= 0

,5: AB= 0

,4: AB= 0

,3: SWQRS,

,2: TQUV

,1: −

,2: −

' 14 −

SET_DO1

SET_DO0

CTRL_DO1

CTRL_DO0

,7: AB= 0

,6: AB= 0

,5: AB= 0

,4: AB= 0

,3: RS,DO1

,2: RS,DO0

,1: DO1WXY

,0: DO0WXY

' 15 −

C_DOPARA1)

RES_ZERO

T_CMP_V22)

T_CMP_V12)

L_PREPAR2)

,7: AB= 0

,6: DO0Z[\]^C

,5: '_`_

abc0d,-e

,4: AB= 0

,3: CDEF^C

,2: @*+0

,1: )*+0

,0: −

1) 15123

2) 156

FM 350− 1

5-27FM350−1 A5E00164922−01

5-10

C_DOPARA DO0

0 !"#$DO0%&'()*'+,-./0

"1*2345#$6"1789:;<=/0

CTRL_DO0 DO01>?*/0

@ 1AB*'$CD DO01>?*/0

CTRL_DO1 DO1>?E

@ 1AB*'$CD DO11>?*/0

GATE_STP @ #$FGH1IJ/0

L_PREPAR @ 1AB*'$KL"1M*/0

NEUSTQ @ #$FM 350− 1NO+PQ1R*/0

SNT$FM 350− 1#@ 1U-.'#JV'$WMXYZ[\D1+,*

/0]^_` FM_NEUST;U-.$]^_` FM_NEUSTQ = 02

ab$NEUSTQ #CNT_CNTL1%&'U-./0

FM_NEUST ;cU-.'$FM_NEUSTQ ;FM 350− 1%&'U-.'3.

d$CNT_CNTL1%&'cU-./0

CNT_CNTL11AB*2345$efgMhij%&'SN1kl9:;<=/0

OT_ERR_A @ 1AB*'$mno[piO+PQ1R*/0

mno[piqrst;9:245#$]^[^u !vst1wx

y=$v. !piO+PQ1R9:;<=/0 O+PQ1R*zT#$piU

|#>?#2~2=/0

RES_ZERO @ 1AB*'$]^ STS_OFLW$STS_UFLW$STS_COMP11cU

*/0

SET_DO0 CD1“?”U*'345$%X CRTL_DO0;U-.'3

45#$@ 1AB*'Z|[XCD DO01mm*/0

SET_DO1 CD1“?”U*'345$%X CRTL_DO1;U-.'3

45#$@ 1AB*'Z|[XCD DO11mm*/0

SW_GATE @ #$SWH1z=IJz=*/0

T_CMP_V1 @ 1AB*'$L"1M*/0

T_CMP_V2 @ 1AB*'$(b1M*/0

FM 350− 1

5-28FM350−1

A5E00164922−01

5-11 zVWMX[^u (\D)

ou

! mU

*/

i[ =

03 LATCH_LOAD "

47 ACT_CNTV ["

89 DA_ERR_W Z[pi

10 OT_ERR_B mno[pi

11 PARA

FM_NEUST

FM_NEUSTQ

DATA_ERR

OT_ERR

DIAG

7: *zi[=

6: SN:

5: *zSNO+PQ

4: Z[pi

3: mno[pi

2:

1: −

0: −

12 7: = 0

6: = 0

5: = 0

4: = 0

3: = 0

2: = 0

1: = 0

0: = 0

13 −

STS_GATE

STS_UFLW

STS_OFLW

STS_COMP1

STS_DIR

STS_RUN

7: −

6: Hu[u

5: −

4: M

3: mM

2:

1:

0: [

FM 350− 1

5-29FM350−1 A5E00164922−01

5-11 zVWMX[^u (\D)(¡¢)

ou

! mU

*/

=i[

14 −

STS_CMP2

STS_CMP1

STS_STP

STS_STA

STS_SET

7: −

6: −

5: CDDO1u[u

4: CDDO0u[u

3: Z|[X\DStopDIu[u

2: Z|[X\DStartDIu[u

1: −

0: Z|[X\DSet DIu[u

15 −

STS_C_DOPARA

STS_RES_ZERO

STS_T_CMP_V2

STS_T_CMP_V1

STS_L_PREPAR

7: = 0

6: DO0

5: u[u 1cU

4: −

3: (b

2: L"M

1: KL"M

0: −

FM 350− 1

5-30FM350−1

A5E00164922−01

5-12

DATA_ERR !"#$%&'%()*+,

DIAG -./(0123-.45DS167#$%8 #$+,9:45DS1;<=+$% >8 #$+,[email protected]=< D&EFOB1GC=HDIAG_INFIJKLM %*N (OPQR+,

FM_NEUST FM 350− 1STU(VW%RX&J YZTU([\%R&]Q^J YZTU_U`UaabcdFM 350− 1 (8 *+, FM_NEUST NEUSTQQe fghiCjkN>8 #$+,l$acFM 350− 1mnopAC FM 350− 1acq(;<Gk2Clq(r%QRj'AC+,

FM_NEUSTQ FM 350− 1STU(VW%RX&J YZTU([\%R&]Q^J YZTU_U`UaabcdFM 350− 1 (>s*+, FM_NEUST>8 #$% 8 #$+,

OT_ERR tu4 !"#$%8 #$+, OT_ERR_A>8 #$% >8 #$+, OT_ERR8 vwx#ctu4yz*N^01#$+|,

PARA f~BCD*QVW#$2EF8 #$+,45D*Qf~iXC+, FM_NEUSTQ>8 #$Nac8 #$+,l%Rac Xq?@AqAC+,

STS_C_DOPARA DO0%DO1 Y>J j~ U623 Q,q(6*A&EFq(rXC+,

STS_CMP1 \"DO0 Y

STS_CMP2 \"DO1 Y

STS_CMP_T_VAL1 iq

STS_CMP_T_VAL2 67

STS_DIR ()*+,

0 = s (LEDDIR)

1 = (LEDDIR¡)

STS_GATE ¢ Y ()*+,

0 = ¢£

1 = ¢L

STS_L_PREPAR ¤q

STS_OFLW qiq(¥¦2%&'§¨©< Y ()*+, §¨©< Y RES_ZERO(P&N(ª%jC>8 #$+,

STS_RES_ZERO STS_OFLWSTS_UFLWSTS_COMP1§¨©< Y >8 Q,

STS_RUN 2*+,

0 = LEDCR

1 = LEDCR¡

STS_SET f~!"Set DI Y

STS_STA f~!"Start DI Y

FM 350− 1

5-31FM350−1 A5E00164922−01

5-12 («R)

STS_STP f~!"Stop DI Y

STS_UFLW q¤q(¤¬k2%&'§¨©< Y ()*+,§¨©< Y

RES_ZERO(P&N(ª%jC>8 #$+,

FM 350− 1

5-32FM350−1

A5E00164922−01

5.6.3

(5-2)FM 350− 1 !"

#$%

t

:

t1.

2.

3.

4.

5-2

&'()*+,-./#$%

1. 0123423526 = 078 !526926$:;<,,:=>

?@$:;<ABC#$%

2. 0123423526926"=>DE$:;<,FM 350− 1);?@FG"

H"#$%

3. IJFM 350− 1A0123423526926$:< !526K926BC:,-./#$%

4. 9(LMNOBFM 350− 1)0123423526K926,: !526K926

"#$%

FM 350− 1

5-33FM350−1 A5E00164922−01

FM 350− 178 PQ RSTU#$%VW.PARSTU

:<FM 350− 1)0123423526OT_ERRXYZ[\]^_`"#$%a<C#

bZ[\]^ OT_ERR_AXYZ[\]^526OT_ERR

H"cdW"YPRS$:e?Af/#$%5-3B)gh]ijkl

$:;&'(mn"#$%

opqk,)*+ !526XYPRSBC#$%

L_DIRECTL_PREPART_CMP_V1T_CMP_V2C_DOPARA

L_PREPART_CMP_V1T_CMP_V2C_DOPARA

5-3PRSrst&'(n"#$%

t

L_PREPAR

STS_L_PREPAR

t

!"#$%&'()*+

FM 350− 1,#$- "./0

12345 FM 350−1-&6789:0;<=>2?@A

,789:B:60,CDEF;<=>2?,G0

;<=>2?OT_ERR

t

t

;<=>2? OT_ERR_A

5-3 !

FM 350− 1

5-34FM350−1

A5E00164922−01

uvPwNRSBC#$%

EF HI- !JK6

>LM

NO1

NO2

(P?Q> LOAD_VAL)

(P?Q> CMP_V1)

(P?Q> CMP_V2)

RS

TS

UVIW

(P?Q> LOAD_VAL)

(P?Q> CMP_V1)

(P?Q> CMP_V2)

PAVW78xy OT_ERR_A,/;Z[\]^ H" FM

350− 1Az|P~:;<ABC:,-$:e?Af/#$% aUZ[\]^

BTUPW"RS"#$%

!526L_DIRECTL_PREPART_CMP_V1T_CMP_V2X"PLOAD_VAL

CMP_V1CMP_V2k$:78 !526C_DOPARAX"wN]

/~BC#%

wN.-<OT_ERRZ[\]^./#$%;^) OT_ERR_A,/

H$e?Af/#$%

PRSe?.Ns)*+nTU#$%

FM 350− 1() X#YIZ

[\] ^_3 OB 1`ab

c[\] (cdI ) ^_4 PROFIBUSDP`ab

c[\] (dI )

1ef8 ! 4 PROFIBUSDP`ab

gh !,HI-ij/6EFkR,FM 350− 1-lmn/

− 1op : ijqB4 PROFIBUSDP`abr

− 2op : ijqB5 PROFIBUSDP`abr

− 3op : ijqB6 PROFIBUSDP`abr

FM 350− 1

5-35FM350−1 A5E00164922−01

FM 350− 1)RD_REC3&XY:;<ABC:]\kDS2A

f/#$%DS 2)*+Af/#$%

s 5-13 t>=uDS 2

v=3

a0w3 >LM RS

a4w7 NO1 TS

a8w11 NO2 UVIW

FM 350− 178 (](526Q K926TU#$%

;U)opqk*+(](526 XTU#$%

3x>3

STS_ZEROSTS_OFLWSTS_UFLWSTS_COMP1STS_COMP2

STS_OFLWSTS_UFLWSTS_COMP1

5-4(](526K926rst&'(n"#$%

3x>3

t

RES_ZERO

STS_RES_ZERO

1GIayz|\

1G#$

FM 350− 1z1G#$- ".m3x>3"1G/6

1G#$45

1G,~:6

5-4 3x>3"1G

FM 350− 1

5-36FM350−1

A5E00164922−01

5.6.4

FM 350− 1A¡¢£$:<Cf:Y)&(¡¢FG$:<C)Y¤BQ0123

423526FM_NEUST926"#$%

3&X".Y78,¡¢¥¦$:e?Af/#$%

NEUSTQ !526926" FM_NEUST526 H"#$%

aUFM 350− 1)FM_NEUST0123423526K926"FM_NEUSTQ012342352

6926"#$%

IJFM 350− 1AFM_NEUST0123423526K926$:<NEUSTQ !526K92

6BC:,-./#$%

5-5)¡¢¥¦&'(n"#$%

FM_NEUST

FM_NEUSTQ

t

NEUSTQ

FM 350−1

t

t

5-5

3&X$:78;3&)§¢B¡¢¥¦"#$%

FM 350− 1

5-37FM350−1 A5E00164922−01

5.7 CPUSTOP CPUSTOP− RUN

CPU STOP

¨\©ª6^NFM 350− 1¢p)[«¬]]­®¯°±"

#$%

CPUSTOP FM 350− 1

STOP FM !"

#$ FM#$ !%&"

'()*+,-+./ 0123456789:./

!;#$!"

<=2345>?@6789

:./!;#$!"

A<BCDE!"

FGHIFMJK!"

LMN >?OPQG!"O,RS<TULM

NV,!"LMNCPUSTOP− RUNWXY

ZV,@[\QG!;]^QG!"_`

;“CPU STOP ”abcdeQG!"

>?A<OPQGdeQG!"

fYN]^" >?OPgA<OPQG!" O,R

V,chi;jklNCPU STOP−

RUNWXYZV,@[\QG!;!"

STOPRUN²CPU³NFM 350− 1¢p)´µA¶"Y:·-f:Y)

CiRX"¸Rt6¹ [«¬] ]­®¯°±"#$%

CPUSTOP− RUNWXc_`

FM 350− 1

mde FMnopA<FMqrde:HI_

`stuG!"

abcU

de

FM@abQGl45UnopA<

!"

FM 350− 1

4-4FM350−1

A5E00164922−01

6-1FM350−1 A5E00164922−01

M7

FM 350− 1FM 450− 1 IF 961− CT1

M7− 300/400CPU !"#C$%&'(&)*+,$-.

/0+1 234+ 56. ''78C,$-.$%&'9

/0 !:;<=> 2?@ABC78<=> 2

+1!56.

D ! C,$-.$%&'9EFGH

$%&'956.''56.7:

$%&'9-+IJ !K'LH:;

6.1 6-2

6.2 6-4

6.3 !"#$%&' 6-5

6.4 (!)*(+, 6-8

6.5 -./0123/45 6-9

6.6 67!89 6-10

6.7 (!(:;<%=>?>>@!ABC 6-12

6.8 $%D;EF 6-13

6.9 G#BEF 6-14

6

M7+56.''M!

6-2FM350−1

A5E00164922−01

6.1

56.NO

56.'' P/QRS+1!56.TU> 2

VWXYZ[\']^_`a

YZbcdbef

VWXghij

klmnmop

qrWstu

bvwx_

yzM|K'~rW

@ 56.,5tu>87 2

M7CntDisableOut

M7CntDisableSet SET

M7CntEnableOut

M7CntEnableSet SET

M7CntInit

M7CntLoadAndStart

M7CntLoadComp !"#$

M7CntLoadDirect

M7CntLoadPrep %&

M7CntPar '()*+,-

M7CntRead "./0+

M7CntReadDiag 1234./0+

M7CntReadLoadValue "./0+

M7CntReadParError '()*+,-5(./0+

M7CntReadStatus 676./0+

M7CntResetStatus 67689:;

M7CntStart

M7CntStop <=

M7CntStopAndRead <="./0+

?@s6.+ 356.$%&'9+M<=> 2

34+ \']56.> <=>23C78

114>872

M7+56.''M!

6-3FM350−1 A5E00164922−01

$%&'(&

STEP7Borland C/C++ gI+$%&'(& M7− 300/400q9u

, 8q956.56.+1 2

q956. ?@¡¢£u>87 2

6¤H

]¤H

¥¦

^_§wLH

yzLH

q9¨©ª«¬

$%s I/Oª,6s

RMOS CRUN''56.+1 2

356.<= M7− 300/400q9u,­®,¯_ 2

°t±5

²u%C$%&'9/0 !"#³)s6.°t±5M7CNT.H

6 !´Oµ¯_ 2¶ 8´O·°t±5 Borland C$%&'9+/Q

!1¸687 2

M7+56.''M!

6-4FM350−1

A5E00164922−01

6.2

-

$%&'9EF ,$-.O¹º#>87·a ·_2

>>»"#$%&'9 -«¼8?@56.µ½8_

2»56. ¾$.+ 2

¿ÀÁ·O¹ VWXÃ1ÄM7CntInit56.Å|n>8¶ 8

56.u''Å|n 3+ 2

1 M7LinkIOAlarm

(M7− API)

!"#$%&

%'()*+,

2 M7LinkDiagAlarm

(M7− API)

-. !"#$%&-.

%'()*+,

3 M7CntInit /012345"678 (9:)

4 M7CntPar ;<=>?/012345>@<A)1BC

!"#$%&STEP 7D/012345>@<A)1

BCEFGHDI (J4K"L@<A)1"BCMNO )

5 M7CntLoadDirect /0167P/012345>QR !"#

$%&/012345%&P 0DST

6 M7CntLoadComp UVP/012345>QR !"#$%&U

VP%0D

7 M7CntEnableOut /012345"WX15YZ[\]^#$>&YZ_

`>

8 M7CntStart abc)de0fgh)Dij]#$>/01234

5STk)d0fgh)"abc)d"#$&/01

2345%&STARTWX15lZDST

9 M7CntStop /012345"mn

10 M7CntRead ]Gop&qrst"u""]v>/01Pwx

,$-.´O·ÀÆ$%&'9+´ O·Ç"# 56.'

'¶56. 8+1 2

ÈY2C56. M7− API''É>87 2

Ê

3u,\t- 56.7:Ë_ <= !$$%

&'9µlÇ878M_ÌÍ$%&'(&gh+1 2

M7+56.''M!

6-5FM350−1 A5E00164922−01

6.3

M7CntInitM!VWXYZ[

VWX M7CntInit56.MÇ8YZ[ 2M7CntInit56.

!VWXÃÅ|n ´Oµ¯_ 2356. VWX

,ÎÏÐÑHÒVW XÓÔ^_`a 2 VWX,Î Ï \']>

8^_`a 23,ÎÏ ?@Õ+E0 2

gh,ÎÏ

M7− 300+ %tuÖ×kØugh,ÎÏ STEP 7+\']^_`a

>,ÎÏÙÚ+1 2 M7− 400+ STEP 7+\']^_`a>gh,ÎÏ

ÙÚ+1 2

,ÎÏÛ^_`a"#3ÛÜÝ$%&'9£u+1

(ÐProC/C++ for M7− 300/400Ò­®, )2

lm$(ÞM7IO_IN M7IO_OUTß+Õ72 )

VWXÓÔ

VWXÓÔ Pb·_ 2

− FM 350− 1IF+ b 1 à>·_ (1VWX )2

− FM 350− 1+ b 1/2 (2VWX )+ 2

ÑHVWXÓÔ

356. 3VWXªá â 8,6s+ !ÐÑHÒVW

XÓÔTU> 2

M7CntInit (CNTMODULEADDRESSM7IO_IN1&LogChannel)

\']&LogChannel 56.ÐÑHÒVWXÓÔã_bµä87 2

M7+56.''M!

6-6FM350−1

A5E00164922−01

VWX\']^_`a

\']^_`a !,$-.O¹#Ë8åÚ> 2

PABæç> 2

è/Î (u,éu êÎ,éu¯_ )

sëì (24 V 5 V)

VWX^_§wíî·

åÚ<=C78 84>872

\']^_`a!´Oµ¯_ 2VWX\']µ^

_`a87·7"#K']tsµ 2VWX\']^_`

a! P2ëìïðñ·:;µ¯_ 2

STEP 7M!:;

M7CntPar56.M!:;

STEP 7M!\']^_`a

STEP 7òóôõ+Ïη:;+\']^_`a!3

µ+1 (44)2M7− 300/400öè÷ STEP7M!\']^_`akst

uµef 233 \']^_`akøù !|

SIMATIC M7úöèRS>·a ··73½û> 2

üý

1þ·Ç\'] STEP 7Ç8vwn 3µ+12

M7CntParM!VWX\']^_`a

M7CntPar56.Ç8$%&'9ôõ+VWX\']^_`a

M|ú\']^_`aµ+1 2M7Cn tPar56.Å|n 1

M7CNT_PARAMEF>7\']^_`akÙÚ> 2(56.''

)2 56. \']^_`akef> >7

åÚµ·_ 2

M7+56.''M!

6-7FM350−1 A5E00164922−01

üý

M7CntPar56.Å|n 1\']^_`ak 8Þ

1Ýñ·\']^_`a +12

\']^_`aµSË!? ·lmnm Ë 233

M7CntEnableSet M7CntEnableOut56.M7CntParâúþ

Å|n·a ··7¢îµ¯!3½û>87 2

ú\']^_`a cdbYZb¸×stu1> 2

M7CntPar56.+ú\']^_`a !\ÕË!¢îµ¯_

2

ú\']^_`a FM 350− 1¶VWX >2

\']^_`ak

11-1 (11-31) M7CNT_PARAMEF\']^_`akk$b

M|kØub^_`a>87 2

M7+56.''M!

6-8FM350−1

A5E00164922−01

6.4

YZb

VWXghbYZb(LoadVal)ÙÚ+1 2kØuYZ

b 0+ 2

M7CntLoadDirect M7CntLoadPrep

YZb VWXef !%ÎÏÀ÷ñ

Â+1 2

VWX M7CntLoadDirect56.+%Î+1 2

M7CntLoadPrep56.+ YZb VWXefÀ

÷ñVWX× 2VWX P"#YZb

al 2

SET STARTlm+êÎ,\µ× !

¾% ,±%µö1Zñ·è/εåÚ87!

M7CntStart56.µÅ|n87!

cdb

cdbÇ8knmop>^_§wu+1 2cdb !nm

åÚ+13M_opè/u> 2íÚcdb !$%s^_§

wu !MåÚ+1 2\']^_`aMÇ8knmè/! 2

84+¢·åÚá"<=>87 2

cdb+knmop !"# M7CntEnableOut 56.+knm

!´Oµ¯_ 23Å|n> VWXú\']^_`aâÕ´

O+ 2

M7CntLoadComp

M7CntLoadComp+1C 2CcdbVWXef+1 2

M7+56.''M!

6-9FM350−1 A5E00164922−01

6.5

klm

STARTSTOPRESET (IF 961− CT1w)M|SETkl

mµ¯_ 2

êÎ,éuopM!è/εåÚ87!"#êÎ,

¦Ô+op+1 2

SETklmÇ8VWX#¦ÔôõYZbåÚ+1

(84)2

M7CntEnableSet

SETlm M7CntEnableSet56.+·_ 2SETlm( $Æ

VWX%Î ) 1Ä56.Å|n>a+,t$u:%±

u:% &:u:%D>8·_ 2

M7CntDisableSet

'(SETlm M7CntDisableSet56.+)+1 2SETlm

!"#'(1Ä56.Å|n>a+,t$u:%±u:

% &:u:%D>8)·_ 2

knm

CPU *+>$%s+«¬u !+1!2C

knm (VWXà )µ¯_ 2

M7CntEnableOut

M7CntEnableOut56.MÇ81Ä56.Å|n>+2C¢·nmß

1C 2C+1 256. ,Hñ·nm> 2

M7CntDisableOut

M7CntDisableOut56.MÇ8 1Ä56.Å|n>+-nm.)

>_&:nm'÷) !3µ+1 2

M7+56.''M!

6-10FM350−1

A5E00164922−01

6.6

VWXgh

è/Ϋ¼8êÎ,éuopu,éuopM!VWX

gh !2C:;µ¯_ 2

1. M7CntStart56.M!u,éuôõ:;

2. STARTklmM!êÎ,éuôõ:;

\']^_`aM_è/ÎåÚ> 2

M7CntStart

M7CntStartÇ8VWX u,éuôõ+gh 2356.

u,éug1 2

M7CntLoadAndStart

M7CntLoadAndStart 56.ôõ+VWXgh+1 2Å|n

!356. YZbVWXef> 2M7CntStart

'¼M356. VWXu,éuôõ+gh> 2

üý

êÎ,éuopM!è/Î"# M7CntStartM|M7CntLoadAndStart5

6.µ¾ÏK'Ù/ !]tsgh> 2

VWXij

VWXij ! 2C:;µ¯_ 2

1. M7CntStop M7CntStopandRead56.7M!u,éuô

õM!:;

2. STOPklm¦ÔM!êÎ,éuôõ:;

M7CntStop

VWX M7CntStop56.+ij 2VWXéuij

56. 356.M_0_1 2356. éuop(êÎ

,éu u,éu ):; *+>8è/> 2

M7

6-11FM350−1 A5E00164922−01

!"#$M7CntStop%&'()*+,

!"#-,./0123)-4./012 (M7CntPar )$

56(37839:;

M7CntStopAndRead

!"#-,M7CntStopAndRead<=%&>?@A3>;?

-, !"#B%&CD,EFEBGHI13>;?-,

BJK>LDMNOPQ>;

M7

6-12FM350−1

A5E00164922−01

6.7

!"!#$%&'

(

)*(

+,

+,-./012345%&'

M7CntRead

+,M7CntRead67895%&':;<=>+,

pActCntV,?@,678A$1BC#$%&'

M7CntStop AndRead

M7CntStopAndRead:;<DEF+,6789

GHIJK%&'=>+, pActCntVBC#$%&'

M7CntRead LoadValue

L:;<)*(M7CntReadLoadValue678LFM5%&'

+,

M7CntReadStatus:;<+,G5%&'L

+,GNO%&'+,PQR34STUK1V

11WM7CntReadStatusXYGZ[O1\]#K'L^F_`:

;<MabO1Kcd,e,f,Mg51KchijkAc j

Glm^nofpqG&rs"!5%&'

+,234

M7CntReadStatustu#$^R34^F_`ofpqvwxH*vw

(SETyz<|~tu)Gp&^nM7CntResetStatus234

5%&'

M7+56.''M!

6-13FM350−1 A5E00164922−01

6.8 -#.!/0

^_§w+:;

^_`a\']«¼8 $%s^_§wM|/ yz^_§

wgh+1 2$%s^_§wM|yz^_§wax! ^_§w

VWX:; !´Oµ¯_ 23?@56.Å|n ´Oµ¯_

2

M7LinkIOAlarm($%s^_§wax_ )

M7LinkDiagAlarm(yz^_§wax_ )

^_§w</:;C78> M7− 300M|M7− 400q9u,$

%&'(&­®,>872

$%s^_§w=>

\']^_`aM_µ$%s^_§wgh !gh !"

# $%s^_§wgh !µ!_ (11-1)2´O+¯ $%s^

_§wª«¬$%&'9+Ú?+1 2

yz^_§w=>

^_§wµyz^_§w¦ÔaxÇ"#^_§wG@5!3¦

Ô(kstuDS0)=> !´Oµ¯_ 2 56.''?@5 6

.Å|n>8AB~lC+1 2

M7CntReadDiag(yzµÐError in one channelÒ"#)

M7CntReadParError(yzµÐParameter assignment errorÒ"#)

M7CntReadDiag

M7CntReadDiag56.Å|n>8VWXK'$ !AB~rW

+1 256.µÅ|n!yzkstuDS1µvwx 2kstu

DS1 ABVWXDyz~µä87 2DS1ÈY4u

DS0kstuµä 2

yz^_§wkstu DS0M|DS1C78 124+<=>87 2

M7CntRead ParError

yz^_§wG@µ\']^_`aK'+¯!"#M7CntReadParError56.

+M_>7~rW+1 2M7Cn tRead Pa rEr r o r56.

M7CNT_PARAMEFkK' K'ÓÔ3> 23K'ÓÔ

\']^_`akK'µä87! EF·bµ^_`a87!

58᫼8ú\']^_`a+1 2

M7+56.''M!

6-14FM350−1

A5E00164922−01

6.9 12'3+*'/0

K']ts

56.RSGK'µIJ !56. ã_b>8K'ÓÔ3> 2

3K'ÓÔCµ_K'FH·G@5!3µ+1 2K'ÓÔFH·

ýIC78 124>872

K'ÓÔ

@ K'ÓÔK'ÓÔ=>$ !J~µýIK

>87 2

0

1 99 12

200 400 12

−1 −999 M7API

(!:I/O"#$ )

M7− 300%&'M7− 400(

)*+,-./01)

23405

−1000 −1100 6. 7819

(!: :;<5=>?@ )

12

7-1FM350−1 A5E00164922−01

FM 350−1

FM 350− 1

!"#$%&'()

*+,-./0 12/345

*+,-./6789

7.1 7-2

7.2 7-4

7

FM 350−1

7-2FM350−1

A5E00164922−01

7.1

$!:; FM 350−1 <=>?-./345@A;BC

D;

/ (X)

0 411

1 411

2 411

3 411

(

)

5V !" A24V!"D

FM 350− 1#$%& 1. '()*+,-./0123456/(789):

2. +,-./!";<=>3,/?19):

3. @/#$%&9):

4. .ABCD /#$%&9):

EF.GH I3.2JKLMN;OP9):

5 VQR(7 A3A3B3B3

NSTUNVWD.

5VQR

XY

3

4

6

7

8

9

10

11

Z[

1 m

5.2 VDC

AA*

A

BB*

B

NN*

N

\]

QR^_(`

5.2 VQR^_

QRA

QRA

QRB

QRB

QRN

QRN

24 VQR(7 VWD. 24 V

QR

XY

3

5

6

8

10

Z[

1 m

24 VDC

AA*

BB*

NN*

\]

QR^_(`

24 VQR^_

QRA*

QRB*

QRN*

abcd.e824 Vf.

QR (VgQ

/BERO)

XY

3

5

6

Z[

1 m

24 VDC

AA*

\]

QR^_(`

24 VQR^_

QRA*

abcd.%h24 Vf

.QR

XY

3

5

6

8

Z[

1 m

24 VDC

AA*

BB*

\]

QR^_(`

24 VQR^_

QRA*

abcd.B*

FM 350−1

7-3FM350−1 A5E00164922−01

(X) /

,.ijL,.

kjlm

,.ijSTU,

.kj

XY

13

14

15

17

18

Z[

I0

I1

I2

Q0

Q1

\]

,.ijDIno

,.ijDIpq

,.ijDII

,.kjDO0

,.kjDO1

rs^tLA^t

(7

rs^tSTUA^t XY

1

2

19

20

Z[

1L+

1 m

2L+

2 m

\]

24 Vrs^t

rs^t(`

24 Vuv^t

A^t(`

FM 350−1

7-4FM350−1

A5E00164922−01

7.2

$!:; FM 350− 1EFG-HI)J9/345;BC

D;KL

/ (X)

HW CONFIG

FM 350 1

FM 350− 1

5V A + B + N

A + B

A

!"

#24V $%&'()*+ ≤ 200 kHz/≥ 2.5 µs

≤ 20 kHz/≥ 25 µs

,- ./-

0 1/- /234

4156789:

;24V

$%&'()*+ ≤ 200 kHz/≥ 2.5 µs

;24V ≤ 20 kHz/≥ 25 µs

,- ./-

0 1/- /234

24V<= $%&'()*+ ≤ 200 kHz/≥ 2.5 µs

≤ 20 kHz/≥ 25 µs

,- ./-

0 1/- /234

>?1MHz<@A 1

BC SINGLE

DOUBLE

QUADRUPLE

)*+6789:

&'(9: D E4

FG

HI JKL

MN&'(H

OP&'(H

L&'(H

&'(HQRKLS 0T32U2(

&'(HQRKLS −31T31U2(

FM 350−1

7-5FM350−1 A5E00164922−01

(X) /

HW CONFIG

FM 350 1

&'&'(9: !"

FM 350− 1

(OP&'(HVWXL

&'(HYZ[ )\2

&'(HYZ[ )'

] (^_ ] (`1 MN&'(H[

SW] (

HW] (

2a

2a/bc

] (de. fgh4

i[

2a jklm

jknm

o2p

qp4,-HrL

s J't\] ( `A4^_s J't\] (

2p^_s J't\] (

$u41v ≥ 2.5 µs

≥ 25µs

&'KL 1wKL

x+KL

KLyzE .BC

qp4/-HrL

41v 0T500ms

|1~1 0 T255

/-DO0 #\.

S1 d V\.

S1\ d V\.

\2VWX'9:S 1"W;

’41v’\.

\29:S1"W;’41v’

\.

'9:S1"W;’41v’

\.

CPUS 0

1

FM 350−1

7-6FM350−1

A5E00164922−01

(X) /

HW CONFIG

FM 350 1

/-DO1 #\.

FM 350− 1

S2 d V\.

S7 @> S2\ d V\.S7 @>

\2VWX'9:S 2"W; ’

41v’\.

\29:S 2"W; ’41v’

\.

'9:S 2"W; ’41v’

\.

S

CPUS 0

1

i[

] (c;i[ (s J't\] (VWX0d('t\] ( )

] (;i[ (s J't\] (VWX0d('t\] ( )

d i[

\ d i[

zi[

\29:S 1"W;i[

'9:S 1"W;i[

\29:S 2"W;i[

'9:S 2"W;i[

&'KLi[

2ai[

qp4/-

DB>CTRL_DO0

DB>CTRL_DO1

DB>ENSETUP

DB>ENSETDN

&'SSrL DB,-

&'S

S1

S2

FC @~.

FC CNT_CTL1VWXFC CNT_CTL2~.

FC DIAG_INF~.

FM 350−1

7-7FM350−1 A5E00164922−01

/ (X)

HW CONFIG

FM 350 1

FM 350− 1

5V A + B + N

A + B

A

!"

#24V $%&'()*+ ≤ 200 kHz/≥ 2.5 µs

≤ 20 kHz/≥ 25 µs

,- ./-

0 1/- /234

4156789:

;24V

$%&'()*+ ≤ 200 kHz/≥ 2.5 µs

;24V ≤ 20 kHz/≥ 25 µs

,- ./-

0 1/- /234

&'(9: D E4

FG

HI JKL

)*+L

wG ¡L

)L

¢£¤

wG¥<

41

)¦§O¨ 1 µs

1/16 µs

] (^_

] (^_ SW] (

HW] (

FM 350−1

7-8FM350−1

A5E00164922−01

(X) /

HW CONFIG

FM 350 1

qp4,-HrL

FM 350− 1

s J't\] ( `A4^_s J't\] (

2p^_s J't\] (

$u41v ≥ 2.5 µs

≥ 25µs

qp4/-HrL

/-DO0 n©S

l©S

!"

QRª

n©S«n

l©S«l

i[

] (c;i[ (s J't\] (VWX0d('t\] ( )

] (;i[ (s J't\] (VWX0d('t\] ( )

n©S¬­i[

l©S¬­i[

L®¯i[

S7 @> qp4/-

DB>CTRL_DO0

DB>CTRL_DO1

&'SSrL DB,-

n©S

l©S

¢£¤

FC @~.

FC CNT_CTL1VWXFC CNT_CTL2~.

FC DIAG_INF~.

8-1FM350−1 A5E00164922−01

!"#$% .

8.1 8-2

8.2 !" 8-3

8.3 #$% (&' ) 8-4

8.3.1 #$% () ? 8-4

8.3.2 * 8-5

8.3.3 +,#$%- 8-8

8.3.4 ./#$%- 8-9

8.3.5 0#$%- 8-15

8.3.6 #$%12 8-20

8.3.7 : #$34%567 8-21

8.3.8 839:- 8-27

8.3.9 :#$3 8-38

8.3.10 : #$3;<= />6 8-44

8.3.11 :#$3;<= 8-46

8.3.12 :2?@A5"AB 8-48

8.4 B 8-49

8.4.1 B ()? 8-49

8.4.2 * 8-50

8.4.3 CD'B 8-55

8.4.4 RPMB 8-57

8.4.5 +,C0B 8-59

8.4.6 : B4%567 8-62

8.4.7 839:- 8-66

8.5 EFGHI5/J 8-69

8

&'()*"

8-2FM350−1

A5E00164922−01

8.1

?

+, FM 350− 1-./'()*01234567

012389:'()*;<=(>=?@ABC9D"EF SDBGHC9

FM 350− 1-./I'()*012345JKL/MNOPQ'()*0123

MN &R4SFM 350− 1'()*0123TUVC9 WXLYZ

[\JKL/C9 9]^(J_/;`abDc"de

?

"fghi'()*0123457 hiC9:"fg

&FM 350− 1-./`jklD"mno1

?

FM 350−1 I`pqDMN 2r1s11X<Ltu*vwC9:xy(

Jzxy`HDMN&d|1YLl~e3D;<=(6

FC CNT_CTL1ID'()*`LDMNLC9:'()*

FC CNT_CTL1DB L"ABC9

DB

LqDB K*KL\0189 c98K*

KLYLlsD glO3D` L2J

L&K*KL 2JL\&990189 (R10”DB0123”ab)

CPU"FM 350−1 -./L"K*KLGH&;<=(u

:FC CNT_CTRLP79

L"K*KL;<=(O &o 1Uy¡C9:¢£1¤

9Dc"\¥&Uy¡¦ FCUV§C9

FC CNT_CTL1¨© R 5SFM 350− 1;<=(>=T`&DBO3DL

0123R10SDB0123T`abªC

&'()*"

8-3FM350−1 A5E00164922−01

8.2

#U

«F¬ &­®.[/

!"#$%

FM 350− 1-./`«F§D:¯°U±YZ[\

«F`²³LD CPU

«´K²Ju/`²³LD DPK*(µ*¶· )

&'()

¸K¹º»B\& FM 350−1 -./«FsD ¼«F& ½

9O dl¾¿fg¼«F LC9 ¸K¹

º\ÀÁs9]& FM 350− 1-./hi`ÂÃÄ8Ž&«FÆl

ÇÁ1Èq

«FO &DPK*"FM 350−1 -./µ*ÉÊ PROFIBUSDP²J

u/"«FË`d79oÌ&

FM 350−1 -./H89DÍxy&EÎ PROFIBUS DP ²Ju/

ToFn`Ï

TiFUÐC9:FM 350− 1-./K*KL"ÍÑ&XÒuJ

*XÓKO EÎ PROFIBUS DP ²Ju/mn"o1

«FO &XÒuJ*XÓKÍ16JLµ*ÔÕË\s1

oÌ&µ*"K*KLOÖ Ô×

µ-*/xyØÙC9DY*Ñ&oÌ&

− ±YZ[ÚLPC9D<Y*

− E¿¡C9:<Y*

− (Û:jÜC9:Y*Ñ

c98Ñ&JÝL\PROFIBUS DP²Ju/TiFÞßkc:F&EÎ

PROFIBUS DP ²Ju/àmn"o1

'()*0123Z(\Ïá:âã& FM 350− 1-./«Fä7Åå

=</L</(GC)æç:èéPDêë«P«F8Â9:âã&

FM 350− 1-./èéì펲Ju/jî«FfgC9

c«F8Â9:ïXÒuJ*XÓKiðC9Åå

&'()*"

8-4FM350−1

A5E00164922−01

8.3

8.3.1 ?

`ñgDâã&FM 350− 1-./lòe·`ógD\s1

¦8-1YL`

8-1 FM 350−1

SWHW/

8.3.3 !"#$8-8(8−8%)&

FM 350− 1'()*+

,-./&

SWHW01

8.3.4 !"#$ (8-9%)&

FM 350− 1234567

)89*+:;*<,./& .

SWHW=9

8.3.5 !"#$ (8-15%)&

FM 350− 1234567

)89*>:;*>?<,./& .

c98YLl`7|:¯'()*`FM 350− 1-./fgDc"\

(R4&7ab)

&'()*"

8-5FM350−1 A5E00164922−01

8.3.2

Y*¾¿Ñ (LOAD_VAL) FM 350− 1-./0123Dc"6O d

clYLÜôÑ`õöeDc"o1

cY*¾¿Ñ`xyL_DIRECTP÷vFM 350− 1-./0123Dc"

\ecFFM 350− 1-./&øùúûO Y*¾¿Ñ`ð Y*

Ñ"÷vü39GH

xy L_PREPAR\mnâã&øùúûO àY*¾¿ÑGH\

øùúûO GHC9:Y*¾¿Ñ&JÝL°O &ð

Y*Ñ"FM 350− 1-./ü3989

ýÏYLlg¿YLlO

− Y*YLMþ\ñgC9 o !d"YLÑ\õ :° Ñ

:F

− Y*YLMþ\[;ñgC9 D !d"YLÑ\õ Ñ

:F

− Y*YLMþ\YñgC9 D !d"YLÑ\<(0)

:F

ÍYLO

− YLl\SW:HWÚL0PÜôC9:F(:ªYLl\

wC9 DâãY*¾¿Ñü3989o )

− E¿¡C9:F

− Y*(Û /jÜ\oC9:F

FM 350− 1-./YLl±YZ[ÚL(HWÚL)"WXLYZ[ÚL(SWÚ

L)PL</Dc"\e

&'()*"

8-6FM350−1

A5E00164922−01

FM 350− 1-./sD 32L2Y*2l\clñg'()*0123P1

”0 +32 L” (yo32L)

− YL (16¦U): 0000 0000 FFFF FFFF

− YL (10¦U): 0 +4 294 967 295

16¦UY*Ñ\FFFF FFFF80Ih¡:"e^X<\&08

FFFFFFFFIh¡:"e[X<\&99Ïá:c"`Y* Ä

”−31 +31 L” (y2e32L)

− YL (16¦U): 8000 0000 7FFF FFFF

− YL (10¦U): −2 147 483 648 +2 147 483 647

16 !" 7FFF FFFF#$ 8000 0000%&'()*+,-.8000 0000#$ 7FFF FFFF%&'()/0,-.1234()56789(:;<

Y*YLMþ`ñg ([;:Y )D"e&YLÑõ `fgDc"PYL`¯Dc"\c"e&YL<(0)8fgC9:YLõ Ñ"o1c9&q]&Y*sD Y*ì`Dd" |c"\eñgC9:Y* YLMþYL'/K`üx:"eYLMþgØÙdpqÅå

=>?@ABCDEFGHI

8-2

0

0

0

0

12

0

0

!

0

0

&'()*"

8-7FM350−1 A5E00164922−01

«FO &FM 350− 1-./L"Ñ`L</J*XÓK

(?@J*XÓK )8PROFIBUS DP²Ju/6üx&ìíxy`Eβ

Ju/

²Ju/O &FM 350− 1-./Y*ÑsD Ti FÞ(Û:ÑOPQE

FÞK*KL`H(Ûµ*OPQK*KLTiFÞO à$

\s1

cP|&±YZ[xy`YLDY*Ñ&xy\TiFÞßÏ

á:dYL`¦:dEβJu/GHC9

?

FM 350− 1-./YL& \$C9

¦8-3c98Ô!`

8-3 FM 350−1

!"#$%

&'(

)*+ ),)-./*+0101234 56&'(

789: ;<=>"0)-./*+ ?@)ABCStart

DI,BC34DEFGH8@I56&'(

789 ;<=?@)ABC Start DI,BC34DEFGH8

@I56&'(

2JAKLMLN+ ?@)ABCStart DI,OPBCJAK34H8@LMLLQR

N+%&'(

&'()*"

8-8FM350−1

A5E00164922−01

8.3.3

O & FM 350− 1-./YLl`"w7

Y*\[;YLl`7 DFYLÑ\õ Ñ&C8ð

:oYL'/Kxy`üx:âã&Y*Ñ`° ÑfgYLl`

w37 :\YL'/K`è|c"s1Åå

Y*\YYLl`7 DFYLÑ\° Ñ&C8ð

:oYL'/Kxy`üx:âã&Y*Ñ`õ ÑfgYLl`

w37 :\YL'/K`è|c"s1Åå

Y*õ Ñ +2,147,483,647 (231 − 1)LC9

Y*° Ñ −2,147,483,648 (−2 31)LC9

Y*Ñ\õ Ñ:° Ñ&C8#w'/K`üx:âã&Y*$%

& 'ÑY*Ñ`L c"eDDBDK*KL\

LC9 (°¦ab).

STS_OFLW

STS_UFLW

"wYLlO ÚLÜ(`+,Dc"\e&3\$C9

ÚLo (µX)/L )

WXLYZ[PDÚL

±YZ[PDÚL&*Ý/xy:'/Kxy

8-1 !"#$%&

&'()*"

8-9FM350−1 A5E00164922−01

(SW)

WXLYZ[(SW)PDÚLÜ(&FC CNT_CTL1'()*SW_GATE`D

c"P7

SW SW_GATE

SW SW_GATE

(HW)

±YZ[ (HW)PDÚLÜ(&µ-*/ DI StartOPQDI StopIDx

y`+:,-Dc"P7

HW( ) DIStart ”1”

HW( ) DIStart ”0”

HW( ! ) DI Start"#$%& !

'(

HW( ! ) DI Stop"#$%& !

'(

±YZ[P1ÚL\Ü"&Y*./Ñ8YLl`Üô

WXLYZ[sD ±YZ[PDÚLÜ(PÜô:YLl&ÚL

01·`234CÅDc"\ecFC CNT_CTL1'()*

GATE_STP LDc"P57e (u¸65.3ab)

!

¨©u¸6 8.3.10(8-447-)OPQ8.3.11(8-467-)`abªC

8.3.4

"#

YLO &FM 350− 1-./g¯89:YLMþ%18

YLl`7 VòDYLl`ñgDc"\e

ýÏYLl − Y*YLMþ : 9g

ýÏYLl − Y*YLMþ : [;

ýÏYLl − Y*YLMþ : Y

&'()*"

8-10FM350−1

A5E00164922−01

$% − &' : ()

Y*YLMþ\9gâãýÏYLlO &ÚL\Ü"o:F&

FM 350− 1-./YLÑ\ 'Ñ`:qDY*¾¿Ñ8[;Mþ:

YMþIYLl`7

YLÑ\ 'Ñ`:q:âã

ÚL(Î&

XÒuJ*XÓKK*KL STS_OFLW:STS_UFLW\LC9&

Y*d|ÔM 'Ñ\LC9

Y* 'ÑYLlÑP;C9

Y*Ñ\< (0)FK*KL STS_ZERO\LC9

jîY*`lCÅD:¯jQÚL`Ü\s1

STS_OFLW()

()

STS_UFLW()

STS_ZERO()

()

!"(#$)

0

!"(#$)

%&

' 8-2 ()*+,-(./(012!"

&'()*"

8-11FM350−1 A5E00164922−01

− :

Y*YLMþ\[;âãýÏYLlO &ÚL\Ü"o:F&

FM 350− 1-./YLÑ\õ Ñ`:qDY*¾¿Ñ8[;Mþ:

YMþIYLl`7

YLÑ\õ Ñ`:q:âã

ÚL(Î&

XÒuJ*XÓKK*KL STS_OFLW\LC9&

Y*jîY*¾¿Ñ\LC9

Y*õ Ñ<$fge&Y*¾¿ÑYLÜôFYL"oDd

cÑdhi

jîY*`lCÅD:¯jQÚL`Ü\s1

= 0

STS_OFLW( )

()

()

! "#($%)

! "#($%)

& 8-3 '()*+',-'./0 "#

&'()*"

8-12FM350−1

A5E00164922−01

− :

Y*YLMþ\YâãýÏYLlO &ÚL\Ü"o:F&

FM 350− 1-./YLÑ\° Ñ`:qDY*¾¿Ñ8[;Mþ:

YMþIYLl`7

YLÑ\° Ño:âã

ÚL\(Î&

XÒuJ*XÓKK*KL STS_UFLW\LC9&

Y*jîY*¾¿Ñ\LC9

Y*° Ñ<(0)s1&Y*¾¿ÑYLÜôFYL"oDdc

Ñdhi

jîY*`lCÅD:¯jQÚL`Ü\s1

STS_UFLW ()

= 0

( )

( )

( !)

( !)

" 8-4 #$%&'#()#*+ ,

&'()*"

8-13FM350−1 A5E00164922−01

ýÏYLlO ÚLÜ(`+,Dc"\e&2\$C9

WXLYZ[PDÚL

±YZ[PDÚL&*Ý/xy:'/Kxy

( )

-( )

( )

STS_OFLW(./.01 )

" 8-5 ,23456*+

(SW)

WXLYZ[ (SW)PDÚLÜ(OPQY*¾¿Ñfg& FC CNT_CTL1'()*SW_GATE Dc"P7

7 89

:;<=# SW_GATE4>16?

:;<=#- SW_GATE4@>16?

(HW)

±YZ[(HW)PDÚLÜ(OPQY*¾¿Ñfg&µ-*/DIStartOPQDI StopIDxy`+:,-Dc"P7

7 89

HW#(ABCDE23 ) FGCHIDIStartJ#DEABC4 ”1”6?

HW#(KC.DE23 ) FGCHIDI StartJLM&NKC.DE4

OP?

HW#-(ABCDE23 ) FGCHIDIStartJ#DEABC4 ”0”6?

HW#-(KC.DE23 ) FGCHIDI StopJLM&NKC.DE4

OP?

*Ý/xyPD±YZ[ÚLO &w:¯ÚLÜOPQY

*¾¿ÑfgDI Start IxyP79

'/KxyPD±YZ[ÚLO & DI Startxy=jî>Ìõ\1'/

K\+C9:âã&Y*Y*¾¿ÑLC9jK*LoÌ&c

FDI Stop\LC9 o39]ÚLÜ(?oYLl¾¿Ñ8

ÜôC9

&'()*"

8-14FM350−1

A5E00164922−01

Y*Ñ\õ Ñ:° Ñ&C8#w'/K`üx:âã&Y*

VòDïLC9

− Y*YLMþ\9gâã$%& 'Ñ

− Y*YLMþ\[;:YâãY*¾¿Ñ

Y*&'()*SW_GATE\LC9 D&sD ±YZ[ÚL\Ü9

D&" :c""@?&ÚL(ÎYLl`34DDBK

*KL\LC9

QRSTU LC9DK*KL (DB)

STS_OFLW

STS_UFLW

YLl`jÜDâã&'()*SW_GATE`jîLD±YZ[ÚL`j

îÜ\s1cPY*Y*¾¿Ñ8jQYLl`Üô

ÚL01·`2YLl`34CÅDc"Ac

FC CNT_CTL1'()*GATE_STP LDc"P57e

&'()*"

8-15FM350−1 A5E00164922−01

8.3.5

YLO & FM 350− 1-./ÚL\Ü D¿YLl

`7 VòDYLl`Dc"\e

g¿YLl − Y*YLMþ : 9g

g¿YLl − Y*YLMþ : [;

g¿YLl − Y*YLMþ : Y

− :

Y*YLMþ\9gâãg¿YLlO &ÚL\Ü"o:F&

FM 350− 1-./YLÑ\õ° ½9 'Ñ`:qDY*¾¿Ñ8[

;Mþ:YMþIYLl`7

YLÑ\BÌ8 'Ñ`:q:F

XÒuJ*XÓKK*KL&STS_OFLW:STS_UFLW\LC9&

Y*ÑY*¾¿ÑC1&YLljîcÑ8ÜôC9

Y* 'ÑYLlÑP;C9

YL\< (0)FK*KL STS_ZERO\LC9

ÚL\(Î89D"YLl01ïC1

STS_OFLW()

STS_UFLW()

STS_ZERO()

0

( )

( )

! 8-6 "#$%&'"()"'

&'()*"

8-16FM350−1

A5E00164922−01

− :

Y*YLMþ\[;âãg¿YLlO &ÚL\Ü"o:F&

FM 350− 1-./YLÑ\Y*õ Ñ`:qDY*¾¿Ñ8[;Mþ

:YMþIYLl`7

YLÑ\Y*õ Ñ`:q:F

XÒuJ*XÓKK*KL& STS_OFLW\LC9&

Y*ÑY*¾¿ÑC1&YLljîcÑ8ÜôC9

Y*õ Ñ<$fgs1&Y*¾¿ÑYLÜôFY*Ñ"oD

dcÑdhi

ÚL\(Î89D"YLl01ïC1

STS_OFLW()

= 0

( )

( )

8-7 !"#$%&!'(!

&'()*"

8-17FM350−1 A5E00164922−01

− :

Y*YLMþ\Yâãg¿YLlO &ÚL\Ü"o:F&

FM 350− 1-./YLÑ\Y*° Ñ`:qDY*¾¿Ñ8[;Mþ

:YMþIYLl`7

YLÑ\° Ño:âã

XÒuJ*XÓKK*KL& STS_UFLW\LC9&

Y*ÑY*¾¿ÑC1&YLljîcÑ8ÜôC9

Y*° Ñ<(0)o1Y*¾¿ÑYLÜôFY*Ñ"oDd

cÑdhi

ÚL\(Î89D"YLl01ïC1

STS_UFLW()

= 0

( )

( )

8-8 !"#$%!&'!

&'()*"

8-18FM350−1

A5E00164922−01

g¿YLlO ÚLÜ(`+,Dc"\e&2\$C9

WXLYZ[PDÚL

±YZ[PDÚL&*Ý/xy:'/Kxy

( ) ( )

8-9 ()*+,-.

(SW)

WXLYZ[ (SW)PDÚLÜ(OPQY *¾¿ÑfgFC CNT_CTL1'()*SW_GATE Dc"P7

/ 01

2345! SW_GATE+6-.7

2345! SW_GATE+86-.7

(HW)

±YZ[(HW)PDÚLÜ(OPQY*¾¿Ñfg&µ-*/DIStartOPQDI StopIDxy`+:,-Dc"P7

/ 01

HW!(9:;<=)* ) >?;@ADIStartB!<=9:;+ ”1”C-.7

HW!(D;<=)* ) >?;@ADI StartBEF$GD;<=+

HI-.7

HW!(9:;<=)* ) >?;@ADIStartB!<=9:;+ ”0”C-.7

HW!(D;<=)* ) >?;@ADI StopBEF$GD;<=+

HI-.7

*Ý/xyPD±YZ[ÚLO &w:¯ÚLÜOPQY*¾¿ÑfgDI StartIxyP79

'/KxyPD±YZ[ÚLO & DI Startxy=jî>Ìõ\1'/

K\+C9:âã&Y*Y*¾¿ÑLC9jK*LoÌ&c

FDI Stop\LC9 o39]ÚLÜ(?oYLl¾¿Ñ8

ÜôC9

&'()*"

8-19FM350−1 A5E00164922−01

Y*Ñ\õ Ñ:° Ñ&C8#w'/K`üx:âã&Y*

VòDïLC9

− Y*YLMþ\9gâã$%& 'Ñ

− Y*YLMþ\[;:YâãY*¾¿Ñ

Y*&'()*SW_GATE\LC9 D&sD ±YZ[ÚL\Ü9

D&" :c""@?&ÚL(ÎYLl`34DDBK

*KL\LC9

JKLMN 6OP. (DB)

STS_OFLW

STS_UFLW

ÚL01·`2YLl`34CÅDc"Ac

FC CNT_CTL1'()*GATE_STP LDc"P57e

&'()*"

8-20FM350−1

A5E00164922−01

8.3.6

FM 350− 1-./32LDY*\1E$C9 cY*2FGYL¦\oÌ&cY*32HL`yL019]I¦\"o1(‘− 31+31 L’YL)&ýoDLJà(231)"9]KL¦"o1 (’0+3 2L’YL ):ªc+,PI¦\oDY*YLMþ\9gâãà 89

FM 350− 1-./99MoDYL 'Ñ`mD2FGYLlYLl`7 99YL 'ÑNsO9(^X<:[X<)\Ïá

‘−31+31 L’YL&Y* 2P¢QPD¦o1 .

0

+32

*

04,294,967,295

0FFFF FFFFH

4,294,967,295

0

0

4,294,967,295

−31

+31

−2,147,483,648

2,147,483,647

8000 0000H 7FFF FFFFH

+2,147,483,647

−2,147,483,648

−2,147,483,648

+2,147,483,647

*cYL¦Ñ 16N¦U\sD

!"# !$ %&

RYL 'ÑO Ïá:^X<"[X<& FC CNT_CTRL1sDDB°¦C9DK*KL`L (R10`ab)

”−31+31 L”YLO & Y*\< (0)` Ä:"e^X< /[

X<âã"ES DBK*KL`L

”0+32 L”YLO &< ÄFYLMþãÅ:^X<

:[X<`K*KL\LC9

! ( ) "#$%&'& (DB)

STS_OFLW

STS_UFLW

( )* STS_ZERO

'()*+ ,-

^X<&[X <:< Ä\Ïá:âã&;<K01à`rrÄDc"\

&'()*"

8-21FM350−1 A5E00164922−01

8.3.7 :

FM 350− 1-./ 2FGY*ÚL\$C9

zÇxy*Ý/:'/Kxym@P`7|±YZ[ÚL

;<=(L`T`7|WXLYZ[ÚL

./

Y*`ñgDs:&õUBÌ8ÚL`7|`ó¯D\s

1

U°VFM 350− 1-./O3DMo:ÚLMN`:d

0123456789" :;

V8-10 *Ý/xyPD±YZ[ÚLÜ(`d

4

( )

DIStart()

1 2 3 4

8-10

DI StartIÚLxy\L (W”1”)C99]&'/KXxyY*C9YL

C9DI StartIÚLxy\L (W”0”)C99]&Y*IÚL(YC9

:\YLl79½&Y*01ï"o1

^X<:[X<PÚL\(Î:âã&¾ DI StartIÚLx

y`ÔZL&jQL÷c9PÚL\jîÜe

*Ý/xyPD±YZ[ÚL&'()*0123#& DI Start+C9:¾

xy>Ìõ\1Z-Üe

c*Ý/xyPDÚLL</F& DIStop+C9Dxy@[C9Ú

Ll\pÅå:ªxyüxPK*KLSTS_STPLC9

&'()*"

8-22FM350−1

A5E00164922−01

V8-11 '/KxyPD±YZ[ÚLÜ(`d

4

DI Start (2<H.f)

DI Stop(2<H.f)

1 2 3 4( )

8-11

'/KxyPDÚL& DI Start I+C9D'/Kxy>Ìõ\1Z-

±YZ[ÚL\Üe DI StopI'/Kxy+&ES>Ìõ\1F±

YZ[ÚL`(Î

DI Start / DI StopRµ-*/IEF'/Kxy\+C9:âã&ÚL\Ü

9](Î&ÚL\(Î 9]ï\A]C9 DI StopIxy\L (W

”1”)C9 9]&DI StartI'/Kxy`+dÚLÜeÅå

DI Start / DI Stop

DI Start/DI StopIxy^_ LED¦`I0OPQI1`r¦C9EF

FC CNT_CTL1DBK*KL&STS_STA"STS_STP d$aC9

ÚLK*K FC CNT_CTL1DBK*KLSTS_GATE¦C9

&'()*"

8-23FM350−1 A5E00164922−01

V8-12WXLYZ[PDÚLÜ(`d

4

( )

SW_GATE( )

1 2 3 4

8-12 !"

FC CNT_CTL1'()*&SW_GATEL`L:LDc"PWXL

YZ[ÚL`Ü(Dc"\e

WXLYZ[ÚL\(Î DF&'()*SW_GATE`LDc"PjQÜ

c"\e:ª&±YZ[ÚL"Mo1LL/LL§ 3PDÚL

Ü($C9 Åå

WXLYZ[ÚLK*K& FC CNT_CTL1 DBK*KLSTS_SW_G$aC

9

&'()*"

8-24FM350−1

A5E00164922−01

!"#$

;<=(6ÚL·`b1c"e&YLl%6dCÅD£eCÅD`ñgDc"\e

ÚL·£e&YL£elÚL\(Î:Fmn"o1&ÚL\Ü

:FY*Y*¾¿Ñ8K*LDYLl`7 (V8-13(1)&Y

L£el#Üôl` )

#$%

&$%

'

()%

*+

(,"- )

(,"./012,"34 )

(,"5 )

(1)

8-13 67," : 8912:;

ÚL·6d&YL6dlÚL\(Î:Fmn"o1Y*ðYLfg`A]ÚL\Ü :FY*A]:YLÑ8YLl`7 (V8-14(1)&YL6dl#Üôl` )

(1)

#$%

&$%

'

()%

*+

(,"- )

(,"./0<=,"34 )

(,"5 )

8-14 67," : 89<=:;

%&'()*+

WXLYZ[ÚLsD ±YZ[ÚL'()*fgOPQxy"@?&ÚL01·`2YLl`34CÅDc"\c FC CNT_CTL1'()*GATE_STP LDc"P57e

c'()* (GATE_STP) L9]jîÚL`Üc"\ ec"e&±YZ

[ÚLâãµ-*/ DI Start>Ìõ\1'/Kxy`pqDc"\s1&WX

LYZ[ÚLâã'()* SW_GATE LDc"\

&'()*"

8-25FM350−1 A5E00164922−01

,-. /

SWÚL : WXLYZ[PDÚLÜ(& FC CNT_CTL1DBsD'()*

SW_GATEL`L:L7 YLlc'()*\h¡:#

PROFIBUS DP²Ju/To*J>=Üô:34 (V8-15ab)

STS_GATE()

SW_GATE( )

DP DP DP DP

Ti Ti

TDP TDPTDPTDPTDP

TiTi TiTo ToToTo To

DP

Count( )

1) 1)1)1)

1)

2)

2)

8-15 SW(SW_GATE) !"#$%&'()

HWÚL : ±YZ[PDÚLÜ(F& HWÚLÜ(:\÷Ì

YLl\Üô:34C9 (V8-16ab)

STS_GATE()

HW−Gate(*+)

Ti Ti

TDP TDPTDPTDPTDP

TiTi TiTo ToToTo To

1) 1) 1) 1)2)

DP DP DP DP DP

Count( )

1)

2)

8-16 HW(HW_GATE) !"#$%&'()

&'()*"

8-26FM350−1

A5E00164922−01

±YZ[:WXLYZ[ÚLÚLÜ(h;<K0`ÏáCÅDc"\

(u¸68.5ab)

µX)/LfgïÍÚLÜ9YLl\ÜôC9

&'()*"

8-27FM350−1 A5E00164922−01

8.3.8

FM 350−1 -./Y* 2ijÑ (ijÑ1&2)`]c"\ec98i

jÑ99%ìDµ-*/I0189 (ijÑ1: DO0 &ijÑ2:

DO1)-./YL"ijÑ%ì:fg`DO0&DO1`r

cu¸6c98l

1 2

ijÑ1&2&FC CNT_CTL1DBsDXkCMP_V1OPQCMP_V2½LC

9:#&T_CMP_V1:T_CMP_V2L`>Dc"P FM 350− 1-./IGH

C9(R10ab)cY*lØÙ`lmÅå

ijÑ+,C9:YLlõ° Ño39]o1Åå:LC9:

ijÑYLl:\:ÑnoC9q]& 16FFFF FFFF

H&32LYL 104,294,967,295$\&+ 31LYL

&10−1 `$

°¦Ñ&ijÑ"pqeD`d

( )

−2 31 1

!"#$%

− 1

231 − 1

fg`LDß& DBDL`>lmnfg`7o39

]o1Åå(R10ab)c98L`L:âã&C9 :µ*d÷

Ì£1eC9cl'()*fgP'/K`7 DâãdE

S

fg prú

DO0 CTRL_DO0

DO1 CTRL_DO1

&'()*"

8-28FM350−1

A5E00164922−01

l\”l9”LC9 9]&DBDLPp"o:

µ-*/`L /LDc"\

DO0 SET_DO0 = 1 SET_DO0 = 0

DO1 SET_DO1 = 1 SET_DO1 = 0

!"

R%&ijÑ%ìD;<=(\6FGsq89°¦9`

!"

#$%&'()* +,*-./*!"*

0123456789:;<=>?

DOx #@4A;BC1DA>E89?F4=GH

#SET_DOx I4JGC /K;=9?

LE

!"M1

N * !"

OP K LE!"1M4Q9RS*#;=9?

OP' LE!"1M1 4TUV9:;4JGC'

;BC>9?

LE

M1

N * !"

OP K LE1M4Q9RS*#;=9?

OP' LE1M1 4TUV9:;4JGC'

;BC>9?

WXYZ'[\H

N *t

!"

OPK]^4OP K 4+,BH*_`aM1

M#b4789?

:1

OP1OPcd : U(]eP )fH#

OP1OPcd : ]gQ9:;Khi

&'()*"

8-29FM350−1 A5E00164922−01

jkYZ'[\H

N *t

!"

OPKP^4OP K 4+,BH *_`aM1

M#b4789?

:1

OP1OPcd : U(]eP )fH#

OP1OPcd : PgQ9:;Khi

WX/jkYZ'

[\HN *t t] P

!"

!"

OP1]P4lm=nOP K 4+,BH*_

`aM1M#b4789?

:1

OP1OPcd#U (]eP )gQ9:;?

DO1:

4opBC

qrs9?

DO0'

%&4V9?

1

!"

2

2

!"

1

OP K2t1 1M4Q9DO1#qrs9(8-31*8-32u

1v8-17*8-18wx)?

* 7-UtC9Du !#$c"

=

t = '/K]wF

&'()*"

8-30FM350−1

A5E00164922−01

RK*K&^_LED¦`"DB%ìDK*KLP¦C9

y 8-4 DO0

%z 2|I

CTRL_DO0

__I

STS_COMP1

__I

STS_CMP1/

DO0

LED DO0

~, 0 0 0

1 0 0

~, 0 1 0

1 1 1

y 8-5 DO1

%z 2|I

CTRL_DO1

__I

STS_COMP2

__I

STS_CMP2/

DO1

LED DO1

~, 0 0 0

1 0 0

~, 0 1 0

1 1 1

K*KLSTS_CMP1"STS_CMP2&DO0OPQDO1./K*K`

pO &c98K*KLij !\v:C9 9]

CTRL_DO0:CTRL_DO1PLC9&v:C9 o39]LC9

K*KLSTS_COMP1"STS_COMP2&ij !\v:C9 9]p

!?oCTRL_DO0:CTRL_DO1PLC9&K*KL

RES_ZEROPwxC9DLï`y]

&'()*"

8-31FM350−1 A5E00164922−01

µ-*/DO1õD !\v:C9:F&ijÑ1&2O fg\Á1È

1

DO0µ-*/l` [¼lï ].fg

DO1µ-*/l` [ijÑIÁÈlï ]fg

ijÑ1&2`CMP_V1o8QCMP_V2IGH

µ-*/DO1`'()*CRTL_DO1Pfg

DO1ï`°¦

(CMP_V1,CMP_V2) DO1 K ”1”4789~ DO1 K ”0”4789~

CMP_V1< CMP_V2

(v8-17wx)

CMP_V1 OP CMP_V2 OP< CMP_V1 fH#

OP > CMP_V2

CMP_V1 = CMP_V2 CMP_V1 = OP = CMP_V2 CMP_V1 OP CMP_V2

CMP_V1> CMP_V2

(v8-18wx)

OP < CMP_V2 fH#

OP > CMP_V1

CMP_V2 OP CMP_V1

ijzK*KL STS_COMP2C9

K*KLSTS_COMP2wx"Lij !\v:C9 o eÅå

µ-*/DO1ïK*KL STS_CMP2 rÄDc"\e

clFO |K¸Ks1Åå

clFO &µ-*/DO1ï`SET_DO1PL</

Dc"deÅå

OP

t

t

CMP_V2

DO1

0

CMP_V1

v 8-17 4opBHOP (V2 > V11RS)

&'()*"

8-32FM350−1

A5E00164922−01

OP

t

t

CMP_V1

DO1

0

CMP_V2

v 8-18 4opBHOP (V1 > V21RS)

( !"

#)$%&'()*+,-./012/3-4567

819:8;< DO024DO1=>*?@ABCDEFGH*/3

567D567IJK1LM24N67DO)-4567IJK1567D.JK

PQ RSDTUVWX2/3

1YR567D567IJK1Z (LM&N67)DO)4[JK\UVWX2/3

0DD]^EF41@A ”1”_LI*?E`=>Da^EFW

X2/3-Dbc4 1 msde/3

-40=>500 ms2.fg/3.*?-4 DO0DO1hi/3

- R0 ms/jkD]^EF49:8;< E=>lD56

7Imnom/2DEFWX2/3

-DpqI84 0 ms/3

r%stuvwx7t

8-33FM350−1 A5E00164922−01

yz

-D0ms/&'D4567819:8# S

X4@A” 1”D|]^ ^~2/3PJ567ImnDEFF1

DL7EF(300 µs)X\SO)40D1?

*?WWX2/3

1YR567ImnD4DL7EFX\HS0

R*S3

300 ms

=

DO1 ( 300 ms )

DO1 ()

300 ms

8-19 0 ms!"#$%&'

r%stuvwx7t

8-34FM350−1

A5E00164922−01

$%uvX~/,4YR*S3

( 8-6 )*%&#+,#-./01

2" 3456

‘789:;:<=:/>?:<=:@AB1C

DE’%&BFG:HDEIJ

KL789:;:<=:(>?:<=:)M

N@A# O)*

(300 µs)BPQRSTUEVCB34DEVCW

XYZ[\]^#)*_

X[UW

)*O1#`aRbUTcdef

ORKLTYg+_hX

[UVCWijRbUTg+Oh

X[E#_klm#)*ODAR0RnoT

UECpW

‘789:;:<=:@AB1CDE’%&

BFG:HDEIJ

‘>q@+_?#KL’RrE

q=stuv#MNBwxT_8UW

‘78>?:<=:@AB1CDE’%&

BFG:HDEIJ

‘>q@+_?#KL’RrE

q=stuv#MNBwxT_8UW

‘iyzB|+1CDE’%&BFG:

HDEIJ

‘?#KL’RrEq=st

uv#MNBwxT_8UW

‘~zB|+1CDE’%&BFG:

HDEIJ

‘>q#KL’RrEq=st

uv#MNBwxT_8UW

pqI|44$%|_LI*?RS2/3

#Est4DO0DO1D|49:1e*?EX

>?2/30DD$%4 PROFIBUS DP¡4¢£ \DWX2/3

¤¥

$%1 ”$%|”YEuvCTRL_DO0(CTRL_DO1)YR

¦fst_LI §¨©ªLISET_DO0(SET_DO1)«SR

DO0(DO1)_LI24¬_LI O)4jkD_LI/¬_LI4ToD­7®

?2/3

r%stuvwx7t

8-35FM350−1 A5E00164922−01

!

7wN4O)YR4(567I)¯°±201X²D³`´µ R¶*W·

$¸0/01X2/30D¹º4567D567I8» R8´µ ¼$½

¾¿WX2/3¤ À0DÁÂ9:81ÃÄ /0D¼$YR

4Å7/Åp$%ÆXÇ/0WX2/30D¼$DÅ7/Åp$%DÆXÇ ÈÉ

,ÊsFM 350− 14MËuÌfgWÍάÏgÐÑ RÒ*?RS2/3

ÍάÏ8 R4 0=>255DÓ81fg/3

( 8-7 #

n = 0,1 # (9< )W

)*_k#X%BZT[+%pB

DEW

2 n 255 #W

O78ni[Uz)*_R

+%pBUW

0DÍάÏVÔ4ÅÕpËS47NpËÖSR\²DV×Ø 2/3

”"#$%&'() /*+, )-./01”2345 !60

78

Ù8-20 4ÍάÏVÔÚÛ \D/30DÙ4ÍάÏ8 0(= ÍάÏ

gÅp)_LI E3_LI EDÜS1ÚÛ*?RS2/30DÙD¤49:8=5

*?RS2/3

uv.4

567567IJK : LM

‘9:8=>ÅÕpË2 1/’$%Ý RS2/3

5678 = 5D9:1e*?ÍάÏg1UVWX2/3ÍάÏ1U

VDF49:ÞÔ1¼>ßàD|á] 2/3

56781ÍάÏDâã(ʤ45678äå2248)D¥ÍάÏ

g4æVWX9:49:8(çèD¤45)D9:ÞÔYmn 2/3

r%stuvwx7t

8-36FM350−1

A5E00164922−01

( = 0)

876543210

= 5

( = 3)

8-20 !"

yz

567819:8# =éÍάÏ1UVO)9:8D¯°567IJK1

¼ê FM350− 1s4¬_LI 2/3 (Ù8-21ëì)3

( = 3)

876543210

#$%&'()

= 5

8-21 #$%&'()*+,-.!"

r%stuvwx7t

8-37FM350−1 A5E00164922−01

” ” !"#

$%&'

Ù8-224ÍάÏVÔÚÛ \D/30DÙ4ÍάÏ80(= ÍάÏg

Åp)_LI E3_LI EDÜS1ÚÛ*?RS2/30DÙD¤49:8=5

*?RS2/3

uv.4

567567IJK : Z(LM&N67)

‘9:8;< E- ’$%

-. > 0Ý RS2/3

5678 = 5D9:1e*?ÍάÏg1UVWX.*?]^EF

Dmn1*?2/3

567D81ÍάÏDâãD¥ÍάÏg4æVWX2/3

ÍάÏ1UVWEFM 350− 1s4567IJKzí RÖX0DáÃ*?J

K» R56781ÍάÏâãD¥E1W*?2/3

876543210

(= 0)

= 5

(= 3)

!"

# 8-22 $% !&'#

r%stuvwx7t

8-38FM350−1

A5E00164922−01

8.3.9 :

567îï\YðñD8(567ò8 )=>I*+SO)4856

7_LI/mnóYRuvX~/ôõ1X2/3567öDJ÷l

ø 2/3

FC_CNT_CTL1DùuvL_DIRECT24L_PREPARó« 2/3

¥úmn RDI Setù247wNDûËüý^*? DI Setó

« 2/3

0D_Ïþ74567öDJ÷éSRSé=DJ÷²??DÌÏ7

éSRÚÛ 2/3

567D$%âã?ÀîDÓ81567ò8 Rfg/3

*?84*?RS567I$%âã 1YÓ8*?2/3¤ À

16ÓDFFFF FFFF H4032ªLI567IstD567Iâã410ÓD

4,294,967,295 îï 2/1−31+ 31ªLI567IstD567Iâã4 10Ó

D−1 îï 2/3

ò/Ó8CNT_CTL1DDB_LI l²?CNT_CTL1FM350−1 s

2/3sD5671²?ò8 RlDJ÷YR_LI 2/3

ùuvL_DIRECT1_LI*?RSO)4 24 R

ùuvL_PREPAR1_LI*?RSO)4 R

ø/Ó8âã48 R¦\Dø RS2/3

( & )

−2 31 + 1 2

!"#$

−2

231 −1

¥úmnS4× 7IDWFC CNT_CTL1Dùuv

L_DIRECT«SR567ò8/012/30Dr%4567I$%´ÖS

R\®012/3

FC_CNT_CTL1 R/O)4MË_XT1× 2/3

r%stuvwx7t

8-39FM350−1 A5E00164922−01

¥úmn« RD567D4 2 DJ÷1X2/3

ùmn I2DTó«/J÷

ùmn I27wNDûËx«/J÷

567öD1ðDE`S4ðD|!ÖSR®ôõ1

ûËx«/J÷«S2/30DJ÷?À=WXD"*\YR®0

1fg/3

567Ist#¢1fg/3

¥úmnó« R®YO)4DBDΪLISTS_SYNC1_LI*?2/3

yz

ûËx567Dê4$I1%=?RSDTîï1X2/3¥úmn

«SR567/567I$%D567IJKPJKDT O)$I

1&'ED567IJK1zí*?0yî R*S3/W(JK1)*?RS

,567I$%1fgWYê* 2/3

!"#$

¥úmn567D4MË_XT×*+2/3

DI Set%

DI SetöDùmnD£(+1XL567ò81567_LI*?2/3

FC CNT_CTL1DDB«î*?RSENSET_UPS4ENSET_DNX~>?

RSuvó« R DI Setö£(+1X,- ED F350−1sD.

/_LI012/3

FM350− 1

ENSET_UP

ENSET_DN

ENSET_UP

ENSET_DN

FM 350− 1

‘1’ !"

#$

DISet%&'()*+,-."/01.2345

6789:;.<=>?@&'A67ENSET_UPB>

ENSET_DN 89C5C3ADE I2FGH+,-

."/06782345

’IJ’

!"#$

2KLENSET_UPMK/B>ENSET_DN NO3M. 23N

4P"@ l2%FG(+,-."/QA2345

r%stuvwx7t

8-40FM350−1

A5E00164922−01

yz

S=WO)ÖSR\2éD(ENSET_UP=é/24ENSET_DND)D1é4_LI W~

?ÀWX2+030D1e*?0YR4',Rù Set DIi RD567

D12/3

DI Set 1

Ù8-23 ùDI Set567D1èD'1ø 2/3ʤ4 2éD

D(D1éENSET_UPDT1_LI*?RSO)ø 2/3 1YR5674567

ILMEDTDWX2/3

ùDI SetöDòD£(+1XYR5671*?2/30DE

ENSET_UP4_LI*?RS\D 2/321ôõ?ÀòENSET_UP

¬_LI R=>23_LI 4/ôõ1X2/3 DI SetöDlD£(+1XYR56

742*?2/3

DI Set( )

ENSET_UP( )

8-23 DI Set1

r%stuvwx7t

8-41FM350−1 A5E00164922−01

DI Set

Ù8-24ùDI Set567D5ÓèD'1ø 2/3½ >?RS|

!42éDD(D1éENSET_UPDT1_LI*?RSO)5674567I

LMEDT*?2/3

ùDI SetöD£(+1X1675671*?2/30DE

ENSET_UP4_LI*?RS\D 2/3\ ENSET_UP1¬_LI*??ÀI2ù

5674X2+0323ENSET_UP1_LI*?O)DT2I2ùöD£(+1

X5671®?2/3

DI Set( )

ENSET_UP ( )

8-24 DI Set

DI Set

567/O)7wNDûËxuvX~ RS4ûËx

D£(+1XLüE1®?2/3

0DûËxD£(+1XE DI Set1_LI*?RS01ôõ/3

ûËxD£(+1XLR®O)4FM 350− 1sD./

FC CNT_CTL1DDBDENSET_UPS4ENSET_DN¼ÓuvX~8 R

./012/3

FM 350−1 !"#$

ENSET_UP%&' (')*"+

ENSET_DN%&' ,*"+

ENSET_UP-

ENSET_DN%&'

(')*-,*.-/

r%stuvwx7t

8-42FM350−1

A5E00164922−01

FM 350− 1 !"#$

‘1’"

0123"45"6789*"+

%:;<=>.ENSET_UP?@>ENSET_DN%ABC&'

D:&';EFG (H'I )JK"LMNO>P"012

3"6789O:QRJ

‘’"

>>.ENSET_UPST/?@>ENSET_DN9&'QRUV

WSVU0123"6789%XY;Z[\RJ

yz

2éD(ENSET_UP=é/24ENSET_DND)D(1é4ôß_LI W~?ÀWX2+03

0D1e*?0YR4',RûËx567D12/3

DI Set 1

Ù8-25ûËx567D1èD'1ø 2/3ʤ42éDD(D1é

ENSET_UPDT1_LI*?RSO)ø 2/3 1YR5674567ILMEDT

*?2/3

ûËxDòD£(+1XLYR5671*?2/30DEENSET_UP

ùDI Set4_LI*?RS\D 2/3

21ôõ?ÀòENSET_UP¬_LI 23_LI 4/ôõ1X2/3DI

Set1_LI*?RSW~?À567D4 DI Set1_LI*?§DòDûËxY

R®?2/3DI Set1_LI*?RS?ÀlDûËxYR56742*?2/3

0123

DI Set( )

ENSET_UP( )

8-25 0123" 1

r%stuvwx7t

8-43FM350−1 A5E00164922−01

DI Set

Ù8-26ûËx567D5ÓèD'1ø 2/3½ >?RS|!42é

DD(D1éENSET_UPDT1_LI*?RSO)5674567ILMED

T*?2/3

ûËxD£(+1X1675671*?2/30DEENSET_UP

ùDI Set4_LI*?RS\D 2/3

DI Set( )

ENSET_UP()

8-26

8-44FM350−1

A5E00164922−01

8.3.10 : /

/ () !"#

$%&'()*)+$%&,-./() !#01-23 4+.

56789Start DI:89;<=6 (>?@AB3C>?DAB )AEFG2C#

HI23 4

J K0 !CLMN=OP!QRASTUB3 4

.HV89;<=6WX 1 msYZ)3 4+WXA1 msPB[ZG1

\]G2C5^I23 4

J _H !;<=6 3`ab,-cd !+Aefg 4

Start DI89;<>?@AB=6

Start DI89;<>?DAB=6

Start DI89;<>?@AB>?DABh=6

SWQAijfAklUB3 4

&mPn&o2p2/&'(G2q13 4+/&

SWQPrqsI!+tB3uv4

&mPn&MN=OP!QA,2C#sI!+tB3uv4

56789DI StartY$7w=6;<Ai!.A/3Bt-,

xL'(G2C$%&,-.H13 4

+$%&DI Startq7w=6;<Ayz-2!|-2 ('

(G2)3 4

&+7w=6;<Ayz-2C#&o33BF)C[g 4

DI Start89;<~DBwwSTS_STAPrqG2q13 4

&DB 4LATCH_LOAD)qF +Ag3 4

r%stuvwx7t

8-45FM350−1 A5E00164922−01

GATE_STP( )

SW_GAT( )

DI− Start( )

8-27 /( = 0 !"# )

( !"#$)%&'(

)*+,-./"%

Start DI0.123456789:;<=>?%!. SW.+

<@ABCD>DE'%

FFC CNT_CTL1.GHI5GATE_STPJ1DSW9K

LM%!.NOC Start [email protected]'#$%

/

5H1R/)+STUC5678Q9KVW<XUY"Z[\]

%(5678^V_!)1234.`abc<d>!KefC%Y"Z

[.\]ghY"Z[. ij gk"l>mnX UY"Z[op Q&q&9

r?C%!.Y"Z[stuY"Z[.\]<kvDw9!KCV%

xyUGHI5KSTU

8-46FM350−1

A5E00164922−01

8.3.11 :

5H1RSTUz25| Start DI0.|34.12 (~("~(

")Q <5.;<78(H1R)%5z578

E'.67%

!

STU=9<<k9+xefK&"%

H1RE|34.12gh 1 msK%!.gh 1 msk"Q>

mnH1RQz5t'%

"#$%&

STUE934.12. 3.r?9!KC%

Start DI|34.~("12

Start DI|34.~("12

Start DI|34.~("K~(".12

'()*

5.6 k*H1R.6+/6<QD>%

SW+qK5+/%55a6r?.5K&

"%

H1R.6!.G1234,? .5.6KW<>6<&vD>%

DI Start.|34.NO DB .5 1 STS_STA<kvD¡¢QD>%

H1R.6DB .4£¤¥¦LATCH_LOAD§D¨[!KCV%

xyUGHI5KSTU

8-47FM350−1 A5E00164922−01

SW_GATE( )

DI− Start( )

GATE_STP( )

8-28 ( = 0 ! )

Start DI !"#$%&'()* SW+

%,-./01'1234)

567FC CNT_CTL189:GATE_STP;1SW

<=*>?/7 Start DI,@A)9B234)CD

9BEFG%H 0!"A)IJ%KGLMNO0PQ

( !"RST*)UVWX%Y'* 0Z[/\)LMNO

PQ]^07LMNO_`I]HMa'bc7KGLMNO0deA)fgfh

(/*LMNOijkLMNOPQ%Hl1m* 0/S

noG789: EFG

8-48FM350−1

A5E00164922−01

8.3.12 :2

pEFG7qr5]^st S%&'()fuvw

xyzStart DIz/

pEFG|&%7~0A)1'f)fMCD

FM 350− 1oy%'hfE2rA)1'f'*

oG%tA)1'*

%89: Latch/Retrigger;* .

E%I] 1 MHzt*

!"#$

2 ... ...

Start DI !"

Start DI# # !"

Start DI$(/#) $ !"

%&'

FM 350− 1oy1 MHzI]&'1I]s3'I]st+

Start DI%15I2l13'qr5h( µs

/sA)5I]7J% 0G ¡¢LATCH_LOAD%

!"A)

noG789: EFG

8-49FM350−1 A5E00164922−01

8.4

8.4.1 ?

noGt S7 FM350− 1oy£S¤¥¦tZ[0§M

¨8-87FM 350− 1oy0©ª'gªhstoG%ª'1«[ ¬52/

8-8 FM 350−1

8-558.4.3

!"#$%&'()*+,-./

RPM

8-578.4.4

!"#$%0123456789:9;<= &'

()*+,-./->?9;>@8912(AB,-./CDEF&

'/GHI1JKLMNO-./

PQR

8-598.4.5

!"#$(1RM,<S,-./TRUV#$WO

XYZ[\EF]^@3A_; 1RM,-./

*)(noGt5¬%7FM 350− 1oy%­®89:;Z[0

§M(¯477°±²)

noG789: EFG

8-50FM350−1

A5E00164922−01

8.4.2

FM 350− 1oy8y¢³´µ0M7\) µs%¶·1¨

¸

$stI]µ¹/º¬5 2ª8y¢I»xI]¼ 1t½A)

¾I]]^®5M 1ª~µ8y¢¿%v17

$stI] = ÀÁ¾I]%§Â8y¢I»x

Ã

1ªÄ¾I]%§Â8y¢I»x

$stI]0·A)5Â7¾I]%8y¢0fhl5bc7stI]0¾I]

]^X6RSÅA)5”$stI]®M18y¢” 0st HM2

ÆA'bc7\ ' 1ÇA)

8-29

noG789: EFG

8-51FM350−1 A5E00164922−01

FM 350− 1oy%Hstqr$/89:LM®1I¾I]t

¾I]0/st 1”− 1” 0ÈOÇA)¾I]

0+h)5I%¢

0+h)stvw f8y¢¿* %Hl1qrst0

¢st 2É#8y¢0A)5I%·A)

¾I]<ÊË/7G ¡¢/st 0ÇA)(UÌÍ7U

V5ÎÏ)st<=¢Ð¢ÑSTS_COMP1%¨¸A)pÑÑ

RES_ZERO (Ò;0ÓdA)5I¢Ð¢Ñ/§)STS_RES_ZERO%Hl

1Ò;A)

¾I]%ÔÕ0Ö×5bc7*Ist%Hst ·Ç34)CD

*st%QÔÕÖ×7GÑSTS_DIR(ÔÕÑ)%Hl1m

* 0/S

Ø8-30/UÌÍstÙ%qrststÚ`ÛÜ

noG789: EFG

8-52FM350−1

A5E00164922−01

0

1

2

3

−1

8-30 (: )

noG789: EFG

8-53FM350−1 A5E00164922−01

ݾI]Â%7Þ()5st (UÌÍ7ÎÏ5UV)߬tA)1'à

uHáµà \)â)ãäA)

pst 0*)(tà HM2å' (st < à )bc7¢Ð¢Ñ

STS_UFLW01%;A) (Ø8-31±²)æI%KGLMNO0PQ

µ¹ ç%st 0µà èé1' (st > µà )bc7¢Ð¢Ñ

STS_OFLW01%;A)7 (Ø8-31±²).æê%KGLMNO0PQ

0

STS_OFLW( )

STS_UFLW( )

8-31

¢Ð¢ÑSTS_OFLW STS_UFLW7Óë%deA)5Â% RES_ZERO Ñ

STS_RES_ZERO¢Ð¢Ñ%Hl1Ò;f)fMCD*de0fA)5Â7

st 0µàìíîï%ðl1'hñl1l1'f(7vò¢Ð¢Ñ

0óÏ;A)

ôõö9÷ö0\)øò%ùúf(7*àû oüÒöýþDO0xyÇú

Mé%|&* 0/S

FM 350− 1oyst_`& 17KG%Hn(HW)§

'%Hn (SW) 0|&¥/

8-9

!"#

$

$

%&'( $

noG789: EFG

8-54FM350−1

A5E00164922−01

IoG/7FM 350− 1oyÝPROFIBUS DP yI»To%Eõ9

¡¢h(\ýþ71Eõ9IoG/7

PROFIBUS DP yI»To%ýþÇ1'*Eõ9òæ

PROFIBUS DP y%134)1'

st ¢Ð¢Ñ7Ý PROFIBUS DP yI»Ti%FM 350− 1oyh(

A)

*)(st1I» Ti%M7I»Ti%<4M

¹

IoG/710 msÍ/¾I]t* 0¥/*)%v1

IoG/¾I] PROFIBUS DP yÍ%fl1'\5¬7st

oGúMéI%ÀÁ¾I]!©5¬%¾I]89:c4C1'gZ[

0§M 8-5578-5778-59¨8-1178-1278-13±²1g6A'

?

stoG%u'17 FM 350−1oy0st_`%|&/SEFG%¸

8-10 FM 350−1

!"#$

noG789: EFG

8-55FM350−1 A5E00164922−01

8.4.3

UÌÍstoG%u'17 FM 350− 1oy$stI]%sA)58y¢

FM 350− 1oyst UV$%¾¾I]¾I]89:

&1t (¨8-11±²)*¾I]st%Öé* 0¥/

8-11

n

nmin nmax

TDP n 10 ms 1 1000

TDP < 10

ms

n TDP (10 ms/TDP [ms]) +1 1) 1000

TDP 10 ms n TDP 1 10000 ms/TDP [ms] 1)

1) !

"#$%&'()*+$+,!)*-./0 FM350− 1123456789:;5

(<=>0?@*(AB+,!

·%Hl1º¬()5stUÌÍ7Hz*10− 3/¨¸A)7G ¡

¢%1ÈOÇ¥/ ( 03)

CDEF43G

HIJF

KLMNOP

KLMNQR

S 8-32 JFTUEVWXEYZ KLMN

noG789: EFG

8-56FM350−1

A5E00164922−01

àû oü¹UÌÍàû íî/34)

;E[\7]^ &' fu %&' fo

5V ;E[\ 0_499,999,999 Hz*10− 3 fu+1_ 500,000,000 Hz*10− 3

24V ;E[\ 0_199,999,999 Hz*10− 3 fu+1_200,000,000 Hz*10− 3

KL `abc KL `abc

0.1 Hz 0.001 Hz 1 000 Hz 0.18 Hz

1 Hz 0.001 Hz 10 000 Hz 1.8 Hz

10 Hz 0.003 Hz 100 000 Hz 18 Hz

100 Hz 0,02 Hz 500 000 Hz 90 Hz

Start DIStop DI

xyz¤¥%-10¥/

y%HKG

8y¢%HKG

(;8.4.6±²)

DO0

xyÇDO0Ǥ¥%-10¥/

ãäf (àû oü%HúMéf )

àû íîïst

à ~st

µà ~µst

(;8.4.7±²)

à (L_PREPAR)

µà (T_CMP_V1)

¾I](T_CMP_V2)

xyÇDO0¤¥(C_DOPARA)

(;8.4.7uHá5.6.2±²)

noG789: EFG

8-57FM350−1 A5E00164922−01

8.4.4 RPM

!

RPMstnoG/7 FM 350−1oy$stI]%ÎÏ&P¤h(

()1g8y¢7\ h(ÎÏ·ÇÎÏ78y¢Í/

E1/

"#$

FM 350− 1oyst UV$%¾¾I]¾I]89:

&1t (¨8-12±²)*¾I]st%Öé* 0¥/

8-12

n

nmin nmax

TDP n 10 ms 1 1000

TDP < 10

ms

n TDP (10 ms/TDP [ms]) +1 1) 1000

TDP 10

ms

n TDP 1 10000 ms/TDP [ms] 1)

1) !

"#$%&'()* +$+,!)*-./0 FM350−1123456789:;5

(<=>0?@*(AB+,!

RPM

RPMstoGtI7E 1§5M8y¢Ítf)fMCD

pst%Hl1Î϶·st 0Þ() 1x10− 3/min/

CDEF43G

HIJF

RPMKLMN RPMKLOP

Q 8-33 JFRSETUVEWX RPMKL

noG789: EFG

8-58FM350−1

A5E00164922−01

àû oü¹ÎÏàû íî/34)

&' nu %&' no

0Y 24 999 999 *10− 3 /min nu+1Y 25 000 000 *10− 3 /Z

( / 1 = 60 )

[\ ]^_` [\ ]^_`

1 /min 0.04 /min 1,000 /min 0.21 /min

10 /min 0.04 /min 10,000 /min 1.82 /min

100 /min 0.05 /min 25,000 /min 4.5 /min

!"#$ Start DI%Stop DI&

xyz¤¥%-10¥/

y%HKG

8y¢%HKG

(;8.4.6±²)

!"'$ DO0&

xyÇDO0Ǥ¥%-10¥/

ãäf (àû oü%HúMéf )

àû íîïst

à ~st

µà ~µst

(;8.4.7±²)

()*+

à (L_PREPAR)

µà (T_CMP_V1)

¾I](T_CMP_V2)

xyÇDO0¤¥(C_DOPARA)

(;8.4.7uHá5.6.2±²)

noG789: EFG

8-59FM350−1 A5E00164922−01

8.4.5

,

qrUVstnoG/7 FM 350− 1oy$stI]UV 1¨¸

*UV0¾I]HMa'bc7UV¨¸5¬% 0·ÇA)

-.*/

FM 350− 1oyst UV$%¾¾I]¾I]89:

&1t (¨8-13±²)*¾I]st%Öé* 0¥/

8-13

n

nmin nmax

TDP n 10 ms 1 12000

TDP < 10

ms

n TDP (10 ms/TDP [ms]) +1 1) 12000

TDP 10 ms n TDP 1 120000 ms/TDP [ms] 1)

1) !

"#$%&'()*+$+,!)*-./0 FM 350− 1123456789:;5

(<=>0?@*(AB+,!

0123

·ÇA)5UV 71 µs 1/16 µs/¨¸A)7stUV7G

¡¢%1ÈO * 0/S ( 03)

CD

EFGH43I

JKLH

MNCDOPQR MNCDOPST

U 8-34 LHVWGXYZG[\ MNCDOP

noG789: EFG

8-60FM350−1

A5E00164922−01

àû o¹àû íî/34)

¨¸ 1 µsbc

&' Tu %&' To

0]119,999,999 µs Tu+1]120,000,000µs

¨¸ 1/16 msbc

&' Tu %&' To

0]1,919,999,999µs Tu+1]1,920,000,000µs

^_` : 1 µs

CDT abcd CDT abcd

1 µs* (10 0) 1 µs* (100 000 10)

1 µs* (100 0) 1 µs* (1 000 000 100)

1 µs* (1 000 0) 1 µs* (10 000 000 1 002)

1 µs* (10 000 1) 1 µs* (100 000 000 10 020)

^_` : 1/16 µs

CDT abcd CDT abcd

1/16 µs* (160 1) 1/16 µs* (1 600 000 160)

1/16 µs* (1 600 1) 1/16 µs* (16 000 000 1 600)

1/16 µs* (16 000 3) 1/16 µs* (160 000 000 16 000)

1/16 µs* (160 000 20) 1/16 µs* (1 600 000 000 160 000)

Start DIStop DI

xyz¤¥%-10¥/

y%HKG

8y¢%HKG

(;8.4.6±²)

noG789: EFG

8-61FM350−1 A5E00164922−01

DO0

xyÇDO0Ǥ¥%-10¥/

ãäf (àû oü%HúMéf )

àû íîïst

à ~st

µà ~µst

(;8.4.7±²)

à (L_PREPAR)

µà (T_CMP_V1)

¾I](T_CMP_V2)

xyÇDO0¤¥(C_DOPARA)

(;8.4.7uHá5.6.2±²)

noG789: EFG

8-62FM350−1

A5E00164922−01

8.4.6 :

!"

FM 350− 1oy%¸!1'

"zy58y¢!,7%Hl1+3#KG

(HW)

$%ôõö9&Ñ'1+3# (SW)

#$%&'

noGt õö(¢(;8.3.2±²)fh/7n5¬%)

&'ht

%¸Ø(Ø8−3 57Ø8−3 67Ø8−3 7)FM 350− 1oy¥f*

+n%ª'1ÛÜ2/

()*+,-./0 HW#$%12

Ø8-35y%H HW+ê+¸2/

( )

DI− Start( )

8-35 HW

xyzStart DI%,`”1”-.* %Hl1 HW0+Sst0+A

)ç%Start DI%,`”0”-.* %Hl1 HW0st<=

05Ist G ¡¢%!©A)

py%HHW+n%u'1789:LM/Â%Start

DI%A)5³´µ0M%Hl10+S

poG%HHW+nu'17xyz Stop DIz,@A)

56>0¢Ð¢Ñ STS_STP%¨¸A)

noG789: EFG

8-63FM350−1 A5E00164922−01

HW

Ø8-36 78y¢%H HW+ê+¸2/

DI− Start ( )

DI− Stop ( )

( )

8-36 HW

xyzStart DI8y¢³´µM%Hl1 HW0+Sst0+A)

1ÔStop DI%18y¢³´µM02ÇA) HW0st<

=05Ist G ¡¢%!©A)

Start DIz Stop DIz%1æI%³´µM8y¢02ÇA)5I7+h)1'5

71'55 fM5 Stop DIz%,` ”1”0;A

)1'>?/7Start DIz%8y¢0zA)12+SCD

DI Start /DI Stop

DI Start/DI Stopz34LED¨¸5I0uHáI161¨¸A) æI%

FC CNT_CTL1DB¢Ð¢Ñ7STS_STA STS_STP%278A)

¢Ð¢ FC CNT_CTL1DB¢Ð¢ÑSTS_GATE%¨¸A)

noG789: EFG

8-64FM350−1

A5E00164922−01

SW

Ø8-37 %H+n¸2/

( )

SW_GATE( )

8-37 SW !"#

FC CNT_CTL1z89:7SW_GATEÑ;1SW+S7st+

* 0/Sç% SW_GATEÑÒ; SW7st2<=

SW05Ist G ¡¢%!©A)

5SW_GATE89:Ò;)óá+SHW 9fMÑ;

/ÑÒ;|'X%H+n&A)1'CD

SW

SW¢Ð¢7 FCCNT_CTL1DB¢Ð¢Ñ STS_SW_G%¨¸A)

!"#

FC CNT_CTL1z89:7GATE_STPÑ;* %Hl1:;¤¥

!<%7st<=AC * 0/S*z=SW¢Ð¢

>?%-.fg34)

GATE_STPÑ01@;A) ()r1pÑÒ;12+S

CDó+7Start DIA³´µM©ª-. (HW )h

SW_GATE89:(SW)óá;h0Z[/

$%&'()*

+ (HW7SW) %Hl1KGLMNOPQAC* 0/S

(;8.5±²)

+,-!

SW7xBy/¥>?/

noG789: EFG

8-65FM350−1 A5E00164922−01

./0%1

SWn : %H+n7 FC CNT_CTL189:SW_GATE

Ñ;5Ò;13'st*89:0Ö×5ÂPROFIBUS

DP yTi ÷ö/+5<= (Ø8-38±²)

STS_GATE()

SW_GATE( )

Ti Ti

TDP TDPTDPTDPTDP

TiTi TiTo ToToTo To

2)2)2) 3)1)

DP DPDPDP DP

Count( )

1) = −12)

3)

8-38 SW(SW_GATE) !"#$%& '!()*+

HWn : KG%H+nI7 HW+CÂ PROFIBUS

DP yTi ÷ö%Hl1st0+5<= (Ø8-39)

STS_GATE()

HW−Gate(,-)

Ti Ti

TDP TDPTDPTDPTDP

TiTi TiTo ToToTo To

2) 3) 2)2)1)

DP DPDPDP DP

Count

1) = −12)

3)

8-39 HW(HW_GATE) !"#$%& '!()*+

noG789: EFG

8-66FM350−1

A5E00164922−01

8.4.7

UÌÍst7RPMst§'qrUVst_`%u'1\)â)µà t

* 0/S*t¤¥7DO0xyÇ0st íîït5I%!

< fM*)(àû t DA¤¥613'DO16Jx

yÇ 1&'()

DOÇ3#Ä%7DB­®Ñ;1xyÇ!<%1ugZ[0§M

(¯10°±²)*)(Ñ)´(h0Ò;A)5bc7vòxyÇC´%

,< fMÇA)CD

DO0 CTRL_DO0

DO1 CTRL_DO1

noG789: EFG

8-67FM350−1 A5E00164922−01

DO0

DO0xyÇ%u'17àû %EF5 S7ò4*G/t* 0/

S7\ýþ0DO0%78A)4ªH¨%¸

8-14 DO0

DO0

DO0

!"#$%&'()*+,-./

01"DO02345678/$9:1,;<

"=>()0$?@"#A8B34567$C

DEF45SET_DO0GH8DO0)

(”1”/”0”)2IJCKLCTRL_DO0"#A

8MN"345678/$?@2OPC

QTo RS2

TUK

=>

VWX

DO0345YZ([)/\7] )

^> < _

^> > ` Ti)ab

cde

abcde

_ f_ DO0345YZ

^> < _

cde

` f` DO0345YZ

^> > `

DO1

ÑSET_DO1n1DO1xyÇ(”1”/”0”Ç)%ë3* 0/S

56CTRL_DO1%Hl1§(h¬Ç!<%;A)1'* 0Z[/

DO1Ç7àû oüýþ%IJCD

IoG%u'17DO1ÇÇKLÖ×%50l1C´%;5Ò;A)

IÏoG%u'17DO1Ç7ÇKL0Ö4l5bc7ToIM¾I]<Ê/

;5Ò;A)

noG789: EFG

8-68FM350−1

A5E00164922−01

DO0/DO1xyÇÇ>02ª34LED¨¸5%Hl1¨¸A)1'5æ

I%DB¢Ð¢Ñ%278A)

8-15 DO0

CTRL_DO0

STS_CMP1/

DO0

LED DO0

0 0

1 0

0 0

1 1

8-16 DO1

SET_DO1

CTRL_DO1

STS_CMP2/

DO1

LED DO1

0 0 0

1 0

1 0 0

1 1

noG789: EFG

8-69FM350−1 A5E00164922−01

8.5

FM 350− 1oy PQ%v1LMNOh* 0/S*5¬%

FM 350− 1LMNO_`&89:t89:LM/NO%13#Z[0§M

CPU y P%7§Qt PQ%v7vòQt_`34C5' 5

I7FM 350− 1oyôõ;¢LMNOPQ1*)_` CPUL

MNO/ Òôõö9&7LMNO_` OB3'

?

FM 350− 1T7 0LMNOPQ

( )

( )

!"#$% &'()*+,

-#$% ./

&0#12

& 3456789:

&1;2<=

& 34-789:

&1;2<=

>?@A 7'

B5CD

ôõ;¢LMNOPQAC Rª/20¥/ 0ãä %F5

ILMNOPQ%-18-34%Sh)1'åZ[T(f)f(f'

* %1g6A'

FM 350− 1oyLMNOPQU /V;t7KGWX0¦t5IM

/89:LM/NOh(3#* 0/SæI%*oyPQLMNO07

kLMNO75ôõ;¢LMNO)´(/§ht* 2/S

noG789: EFG

8-70FM350−1

A5E00164922−01

OB OB 40

ôõ;¢LMNO0PQ5bc7$%ôõö9&A)x0 FM 350− 1oyh(OB40LMNOTKL 1OB40%A)\ÂOB400Tôõ;¢LMNOOB40<=2l1ÓdA)

OB400ôõö9&A)1'f'bc7CPUSTOP>?%fMóÏCPURUN>?%ñ

I7ôõ;¢LMNO[ºYZ[\

Ð]9ÒÖÍOB40_POINT_ADDROB40LMNOTKL1ª 1SSN)

OB40_POINT_ADDR4 h(fÖÍ/( 811)ôõ;¢LMNOPQA)

5 %-KL*ÖÍ 8 9%SSN)1'

¨8-17ôõ;¢LMNO*G%vò1;A)Ѹ52/p¨%¨¸A

)1'f'Ñ1vòLMNO0"Áf'2/§M7J%^õ%;A)1'

8-17 OB40_POINT_ADDR

:

8 0

1

2 ( )

!"#$%& (!" )

3 '( ( )

!")* (!" )

4 +,#1-./('01 )

5 +,#1-./((1 )

6 +,#2-./('01 )

7 +,#2-./((1 )

9 0 234

5 &56789:;< (=>?)

7 @ABC

!"

LMNO0deA)f'%%5æLMNO0PQ5bc7~_LMNOPQCDf4´LMNO`/§ 0PQ12ôõ;¢LMNOT*MCD

* S789:LM/%Hl1“KGLMNOja”kLMNO0PQ

#$%&'(

ôõ;¢LMNO%-189:t7xByILMNOf%;A)1'

9-1FM350−1 A5E00164922−01

FM 350− 1 !"

#$ !"%&'()!*+

FM 350− 1, !"%&-./012345

678!9,#$ !"%&1:;45

<=>?91@AB!CDEF%&

GH

9.1 9-2

9.2 5 V 9-3

9.3 24 V 9-5

9.4 9-7

9

!"%&IJ/0

9-2FM350−1

A5E00164922−01

9.1

KL

FM 350− 1,MNO%&'PQB9 !"R%& !"ST

UVWX%&

'PQB9 !"YZ![?Y1+\]WX^_@9+1STV

`^_@9+@9+aUI%&.,#$D

bcQdR'e)! (BERO) $f@9+ !"gh^iZj9WX%&

k1lm

Un$ !"

O%&o@9+1lmRL Un$ !"1 FM 350− 1 p

9-1Un$ !"Iqr%&1s

9-1 FM 350−1

5V A/AB/BN/N

24V A*B*N*

24V 24V

24V 24V!"

!"%&IJ/0

9-3FM350−1 A5E00164922−01

9.2 5 V

'PQB9 5 V !"

'PQB95 V !"tu%& A/AB/BvwxN/N1RS422 yz678!9

lm%&ABvwxNABvwxN|%&%&AvwxB

JVV90 ~UV

'PQB95 V !"OAP AvwxBO VOAP N@A

B!,CDEF`VR 1 hRL V

V`6%&1 !"q !"IV

9-1V`%&'()!*+1s

A

B

#$% &'( $

A

B

N

N

) 9-1 5V

678!9%&AIB`O41P)(@9+/

0)%&AvwxBf7,"Rd4 OUV1s

O4

O4O4!9vwxO4|@AB! wD 1

¡I$¢

%&6e45

678!9*!£9¤ ¥¦16e

@AB!CDEF wD3%&§dfV16e1¨LI,R,©

oUV$%&%&§d qª¤,@AB!CDEF(1«¬!P

h) w©+'­®> UV ¯H

3%&,°A!1Z±!O !" ²³,´ 5.2 VDC !"

^µ ¥¦,¶SR !",UV$·V

@AB!1CDEFR¸ 678!9,A!1¹ºª¤»!ODS0vwx

DS1 OQ,¼TUV½¾@AB!,CDEF`V V wDª

¤CD¿k,¶SÀÁÂ,´D

!"%&IJ/0

9-4FM350−1

A5E00164922−01

!»?Y ÃP

!" !»?Y ÃP1~Ä A hÅH,´D

!"%&IJ/0

9-5FM350−1 A5E00164922−01

9.3 24 V

24 V'PQB9 !"

'PQB924V !"%&A*B*vwxN*15V'PQB9 !"%& A

BvwxNIÆKÇÈlm^i 24 V%&d+Q+P (*)sUV%

&A*vwxB*JVV90 ~UV

|%&1lm$ !"Éq !"IV

24 V !"%&<= Ê!+º=1)Pº=1

1@AB!CDEF w©¨LË ÌÍÎ !"1ÏÐ

¢ÑU

O4O4!9vwxO4|@AB! wD 1

¡I$¢

24 V@9+ !" (4Zj9$ /´D )

'e)!(BERO)ÒbcQd$f !">¬O ÃPÓÔA* ÅH

´1O%&ÑF1lm

V Õ¡4¹ºo%&1Ö×1ØFÓÔB* oÙ

!",qr%&1lm$S7qrID1STRq

rMN%&1o

9-224 V@9+ !" (4Zj9´D )ÇÈÚÛ ÜÝ)!*+IJÞßSK

O@9+1s

A*

B *

&'(#$%

$#$%

&'(

$

) 9-2 24V ( )

!"%&IJ/0

9-6FM350−1

A5E00164922−01

O4 !"<=@AB!CDEF w©hàUVp9-2@AB!

CDEF w O41s

9-2

B*

! "#$

24 V%& '

()* ! '

%+,-./0 "#$

@AB!1CDEF !"áâ 24 V pulse and direction1áâ

V`O%& B*%&| wD41¡Iãä

åæ

'/0¶çO%&°%&,èé êÕUVRL O

,7ëìI,´D

24 VO<=<=>?9

íî1ïðRL 24 V<=A* B*vwxNvwx»79<=oèñ$>?9ÇÈ

<=>?9 @AB!1CDEFI,<=>?91o

9-3 123

45 123 1

(6173 )

123 2

89:; 1 µs 15µs

<=>?@ 200 kHz 20 kHz

AB<C3D 2.5 µs 25 µs

%&6e45

24 VO%&¤ Ò¥¦,6eUVãä

!»?Y ÃP

!" !»?Y ÃP1~Ä B hÅH,´D

!"%&IJ/0

9-7FM350−1 A5E00164922−01

9.4

KL

FM 350− 1%&71OòóA(A* )7,/0UV

(SINGLE/0)wDaôõö1÷RL %&,SINGLEDOUBLERQUADf

/01ØF1@AB!CDEF w©¨LI,

-./0%&AIB,90 ~UVR'PQB95 V !"R

A*IB*,90 ~UVR'PQB9 24 V !" kÀÁ

SINGLE/0

SINGLE/0A17ÑF,/0UVdO@9+,Aøùú,DI

BûZj9ü÷UV"O@9+, Aøù,DIBûZj9ü÷UV

9-3%&SINGLE/01s

A (A*)

B (B*)

9-3 SINGLE

DOUBLE/0

DOUBLE/0%&Aøùú,DIøù,D,/0UVdO@9+I"

O@9+fù`,STUV%& BZj9 wD

9-4%&DOUBLE/01s

A (A*)

B (B*)

9-4 DOUBLE

!"%&IJ/0

9-8FM350−1

A5E00164922−01

QUAD/0

QUAD/0%&AIBøùú,DIøù,D,/0UVdO@9+I"

O@9+fù`,STUV%& AIBZj9 wD

9-5%&QUAD/01s

A (A*)

B (B*)

9-5 QUAD

»>ý9O

»>ý9OSINGLE/0,hUV

10-1FM350−1 A5E00164922−01

DB

FC CNT_CTL1 DB

678!91­]Ã9 þ°»! FC CNT_CTL1DB UV

»!IDBUUDT 2 w©hàUV678!9 @AB!1CDEF

DB $»!1CDEFÅH,´D (P)5.1HÏÐ ):

678!9dZ+ (dZ+6.0)

­]Ã9dZ+ (dZ+8.0)

!»! (dZ+12.0)

DBUDT 2`½¾ !»!'»!£¬PISTUVV

wDSTUVDBCDEF sIvD p10-1üDvwx¿k´

DB.YZ'psUV

10-1 DB

FC

0.0 AR1_BUFFER DWORD DW#16#0 AR1 !" AR1 !"

4.0 FP B#16#0 !# !#

5.0 $%&' B#16#0 $%&' $%&'

6.0 MOD_ADR ( W#16#0 )*+ , )*+ ,

8.0 CH_ADR DWORD DW#16#0 -./, -./,

12.0 U_D_LGTH B#16#0 0 1 2 0 1 2

13.0 A_BYTE_0 B#16#0 $%&' $%&'

345'6789:

14.0 LOAD_VAL DINT L#0 ;<=>

(35'0 1 )

?@(35'0 1 )

18.0 CMP_V1 DINT L#0 ;<AB1

(35'0 1 )

C@(35'0 1 )

22.0 CMP_V2 DINT L#0 ;<AB2

(35'0 1 )

D;EF (35'0 1 )

10

DBCDEF

10-2FM350−1

A5E00164922−01

10-1 DB(G4)

H , !I (JKLM !I )

26.0 A_BIT0_0 BOOL FALSE $%&' $%&'

26.1 A_BIT0_1 BOOL FALSE $%&' $%&'

26.2 A_BIT0_2 BOOL FALSE $%&' $%&'

26.3 A_BIT0_3 BOOL FALSE $%&' $%&'

26.4 A_BIT0_4 BOOL FALSE $%&' $%&'

26.5 A_BIT0_5 BOOL FALSE $%&' $%&'

26.6 A_BIT0_6 BOOL FALSE $%&' $%&'

26.7 A_BIT0_7 BOOL FALSE $%&' $%&'

27.0 ENSET_UP BOOL FALSE NO6PQ

RST(35'0 1 )

27.1 ENSET_DN BOOL FALSE UNO6PQ

RST(35'0 1 )

27.2 A_BIT1_2 BOOL FALSE $%&' $%&'

27.3 A_BIT1_3 BOOL FALSE $%&' $%&'

27.4 A_BIT1_4 BOOL FALSE $%&' $%&'

27.5 A_BIT1_5 BOOL FALSE $%&' $%&'

27.6 A_BIT1_6 BOOL FALSE $%&' $%&'

27.7 A_BIT1_7 BOOL FALSE $%&' $%&'

28.0 CTRL_DO0 BOOL FALSE *,VDO06)W

(35'0 1 )

*,VDO06)W

(35'0 1 )

28.1 CTRL_DO1 BOOL FALSE *,VDO16)W

(35'0 1 )

*,VDO16)W

(35'0 1 )

28.2 A_BIT2_2 BOOL FALSE $%&' $%&'

28.3 A_BIT2_3 BOOL FALSE $%&' $%&'

28.4 A_BIT2_4 BOOL FALSE $%&' $%&'

28.5 A_BIT2_5 BOOL FALSE $%&' $%&'

28.6 A_BIT2_6 BOOL FALSE $%&' $%&'

28.7 A_BIT2_7 BOOL FALSE $%&' $%&'

29.0 A_BIT3_0 BOOL FALSE $%&' $%&'

29.1 A_BIT3_1 BOOL FALSE $%&' $%&'

29.2 A_BIT3_2 BOOL FALSE $%&' $%&'

29.3 A_BIT3_3 BOOL FALSE $%&' $%&'

29.4 A_BIT3_4 BOOL FALSE $%&' $%&'

29.5 A_BIT3_5 BOOL FALSE $%&' $%&'

29.6 A_BIT3_6 BOOL FALSE $%&' $%&'

DBCDEF

10-3FM350−1 A5E00164922−01

10-1 DB(G4)

29.7 A_BIT3_7 BOOL FALSE $%&' $%&'

XY6789:

30.0 LATCH_LOAD DINT L#0 Z[6=>

\]^ -6

(XY0 1 )

Z[6

(XY0 1 )

34.0 ACT_CNTV DINT L#0 Z[6

(XY0 1 )

Z[6

(XY0 1 )

_ `a

38.0 DA_ERR_W ( W#16#0 _ (

(XY0 1 )

_ (

(XY0 1 )

40.0 OT_ERR_B B#16#0 bc_

(XY0 1 )

bc_

(XY0 1 )

!d e !I

41.0 E_BIT0_0 BOOL FALSE $%&' $%&'

41.1 E_BIT0_1 BOOL FALSE $%&' $%&'

41.2 E_BIT0_2 BOOL FALSE $%&' $%&'

41.3 E_BIT0_3 BOOL FALSE $%&' $%&'

41.4 DATA_ERR BOOL FALSE _ f

(XY0 1 )

_ f

(XY0 1 )

41.5 E_BIT0_5 BOOL FALSE $%&' $%&'

41.6 E_BIT0_6 BOOL FALSE $%&' $%&'

41.7 PARA BOOL FALSE gh]

)*+ ,(XY0 1 )

gh]

)*+ ,(XY0 1 )

42.0 E_BYTE_0 B#16#0 $%&' $%&'

43.0 STS_RUN BOOL FALSE bci6j bci6j

43.1 STS_DIR BOOL FALSE NO6j

(XY0 1 )

NO6j

(XY0 1 )

43.2 STS_ZERO BOOL FALSE j kHlm

(XY0 1 )

!,n ,

(XY0 1 )

43.3 STS_OFLW BOOL FALSE j o !H

(XY0 1 )

j o !H

(XY0 1 )

43.4 STS_UFLW BOOL FALSE j U !H

(XY0 1 )

j U !H

(XY0 1 )

43.5 STS_SYNC BOOL FALSE p>Tgh]j

(XY0 1 )

43.6 STS_GATE BOOL FALSE j qrs

(XY0 1 )

j qrs

(XY0 1 )

43.7 STS_SW_G BOOL FALSE j t!Is

(XY0 1 )

j t!Is

(XY0 1 )

44.0 STS_SET BOOL FALSE j *,

SET (XY0 1 )

j *,

SET (XY0 1 )

DBCDEF

10-4FM350−1

A5E00164922−01

10-1 DB(G4)

44.1 STS_LATCH BOOL FALSE ;< -6

(eH ep>) 6' )

44.2 STS_STA BOOL FALSE j *,

START (XY0 1 )

j *,

START(XY0 1 )

44.3 STS_STP BOOL FALSE j *,

STOP (XY0 1 )

j *,

STOP(XY0 1 )

44.4 STS_CMP1 BOOL FALSE j VAB1

(XY0 1 )

j VAB1

(XY0 1 )

44.5 STS_CMP2 BOOL FALSE j VAB2

(XY0 1 )

j VAB2

(XY0 1 )

44.6 STS_COMP1 BOOL FALSE AB16uvgh]

j

44.7 STS_COMP1 BOOL FALSE AB16uvgh]

j

45.0 E_BIT3_0 BOOL FALSE $%&' $%&'

45.1 E_BIT3_1 BOOL FALSE $%&' $%&'

45.2 E_BIT3_2 BOOL FALSE $%&' $%&'

45.3 E_BIT3_3 BOOL FALSE $%&' $%&'

45.4 E_BIT3_4 BOOL FALSE $%&' $%&'

45.5 E_BIT3_5 BOOL FALSE $%&' $%&'

45.6 E_BIT3_6 BOOL FALSE $%&' $%&'

45.7 E_BIT3_7 BOOL FALSE $%&' $%&'

FM 4506

46.0 ACT_CMP1 DINT L#0 $%&' $%&'

50.0 ACT_CMP2 DINT L#0 $%&' $%&'

w?6xy ^ FC DIAG_INFz|gh\~

54.0 MDL_DEFECT BOOL FALSE )*+ ,_ )*+ ,_

54.1 INT_FAULT BOOL FALSE qr qr

54.2 EXT_FAULT BOOL FALSE r r

54.3 PNT_INFO BOOL FALSE -./, (DW 58

o( )

-./, (DW 58

o( )

54.4 EXT_VOLTAGE BOOL FALSE

54.5 FLD_CNNCTR BOOL FALSE !H/e !H/e

54.6 O_CONFIG BOOL FALSE

54.7 CONFIG_ERR BOOL FALSE

55.0 MDL_TYPE B#16#0 )*+ , )*+ ,

56.0 SUB_MDL_ERR BOOL FALSE !I )*+ ,6

\]^

!I )*+ ,6

\]^

DBCDEF

10-5FM350−1 A5E00164922−01

10-1 DB(G4)

56.1 COMM_FAULT BOOL FALSE _ _

56.2 MDL_STOP BOOL FALSE UN/STOP LED UN/STOP LED

56.3 WTCH_DOG_FA

ULT

BOOL FALSE - # (FM) - # (FM)

56.4 INT_PS_FLT BOOL FALSE qr qr

56.5 PRIM_BATT_FLT BOOL FALSE j)W# j)W#

56.6 BCKUP_BATT_F

LT

BOOL FALSE e e

56.7 RESERVED_2 BOOL FALSE $%&' $%&'

57.0 RACK_FLT BOOL FALSE e e

57.1 PROC_FLT BOOL FALSE CPU CPU

57.2 EPROM_FLT BOOL FALSE EPROM EPROM

57.3 RAM_FLT BOOL FALSE RAM RAM

57.4 ADU_FLT BOOL FALSE ADC ADC

57.5 FUSE_FLT BOOL FALSE + +

57.6 HW_INTR_FLT BOOL FALSE H5'6 H5'6

57.7 RESERVED_3 BOOL FALSE $%&' $%&'

58.0 CH_TYPE B#16#0 -./, -./,

59.0 LGTH_DIA B#16#0 -./,6

xy 2

-./,6

xy 2

60.0 CH_NO B#16#0 -./,`a -./,`a

61.0 GRP_ERR1 BOOL FALSE #, _ -./, 1 #, _ -./, 1

61.1 GRP_ERR2 BOOL FALSE FM 350−1z

h

FM 350−1z

h

61.2 D_BIT7_2 BOOL FALSE DS17f 2 DS17f 2

61.3 D_BIT7_3 BOOL FALSE DS17f 3 DS17f 3

61.4 D_BIT7_4 BOOL FALSE DS17f 4 DS17f 4

61.5 D_BIT7_5 BOOL FALSE DS17f 5 DS17f 5

61.6 D_BIT7_6 BOOL FALSE DS17f 6 DS17f 6

DBCDEF

10-6FM350−1

A5E00164922−01

10-1 DB(G4)

61.7 D_BIT7_7 BOOL FALSE DS17f 7 DS17f 7

62.0 CH1_SIGA BOOL FALSE -./,1_ aA -./,1_ aA

62.1 CH1_SIGB BOOL FALSE -./,1_ aB -./,1_ aB

62.2 CH1_SIGZ BOOL FALSE -./,1_ a

kH

-./,1_ a

kH

62.3 CH1_BETW BOOL FALSE -./,1-./,

6_

-./,1-./,

6_

62.4 CH1_5V2 BOOL FALSE -./,15.2V_

U6_

-./,15.2V_

U6_

62.5 D_BIT8_5 BOOL FALSE DS18f 5 DS18f 5

62.6 D_BIT8_6 BOOL FALSE DS18f 6 DS18f 6

62.7 D_BIT8_7 BOOL FALSE DS18f 7 DS18f 7

63.0 D_BYTE9 B#16#0 DS19 DS19

64.0 CH2_SIGA BOOL FALSE $%&' $%&'

64.1 H2_SIGB BOOL FALSE $%&' $%&'

64.2 H2_SIGZ BOOL FALSE $%&' $%&'

64.3 H2_BETW BOOL FALSE $%&' $%&'

64.4 CH2_5V2 BOOL FALSE $%&' $%&'

64.5 D_BIT10_5 BOOL FALSE $%&' $%&'

64.6 D_BIT10_6 BOOL FALSE $%&' $%&'

64.7 D_BIT10_7 BOOL FALSE $%&' $%&'

65.0 D_BYTE11 B#16#0 DS111 DS111

66.0 D_BYTE12 B#16#0 DS112 DS112

67.0 D_BYTE13 B#16#0 DS113 DS113

68.0 D_BYTE14 B#16#0 DS114 DS114

69.0 D_BYTE15 B#16#0 DS115 DS115

11-1FM350−1 A5E00164922−01

M7

!"#$%&%'(

)*+,-./0&.1%'(

11.1 M7CntDisableOut 11-2

11.2 M7CntDisableSet SET 11-3

11.3 M7CntEnableOut 11-4

11.4 M7CntEnableSet SET 11-5

11.5 M7CntInit !"# 11-6

11.6 M7CntLoadAndStart $%&'( 11-8

11.7 M7CntLoadComp )*+,- 11-10

11.8 M7CntLoadDirect $% 11-12

11.9 M7CntLoadPrep $%./0 11-14

11.10 M7CntPar 1234567 11-16

11.11 M7CntRead +89:5 11-18

11.12 M7CntReadDiag ;<=>89:5 11-19

11.13 M7CntReadLoadValue !"+89:5 11-20

11.14 M7CntReadParError 1234567?289:5 11-21

11.15 M7CntReadStatus @A@89:5 11-22

11.16 M7CntResetStatus @A@BCD 11-23

11.17 M7CntStart '( 11-24

11.18 M7CntStop EF 11-25

11.19 M7CntStopAndRead EF&+89

:5

11-27

11.20 M7CNT_DIAGINFO ;<=>GHIJK 11-28

11.21 M7CNT_PARAM 1234567LGHIJK 11-30

11.22 M7CNT_STATUS @A@=>GHIJK 11-33

11.23 ?2M% ?23C 11-34

11

M7)*+2345)

11-2FM350−1

A5E00164922−01

11.1 M7CntDisableOut

67

89:;<-%'(

=

#include <m7cnt.h>

M7ERR_CODE M7CntDisableOut(

M7CNT_LOGCHANNEL LogChannel,

BOOL SelOut0,

BOOL SelOut1);

123 NO

LogChannel PQ RS&M7CntInitTUVI

SelOut0 0.WXYCD

SelOut1 1.WXYCD

23>?@2A89::B1.;<CD%'(2AE!"

SelOut0,SelOut1FG.2A89HIJ:;<'KLM-%'(89:;<'K

NO8-PQRE!":S!" (= TRUE)-%'(1T

NO8-CU89:;<'K,CD%'(89E!"= FALSEVW89+X

+YZ-%[\(]<89]<%%;<89;<%%^_:`a-%'(

b" :89Ucd;<C'(

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_NO_LOGCHANNEL Z[\]^_ (123

LogChannel)`T

pqrs

:M7CntInitM7CntEnableOut

M7)*+2345)

11-3FM350−1 A5E00164922−01

11.2 M7CntDisableSet

67

SETt9:;<-%'(

=

#include <m7cnt.h>

M7ERR_CODE M7CntDisableSet(

M7CNT_LOGCHANNEL LogChannel,

BOOL SelSetUp,

BOOL SelSetDn):

123 NO

LogChannel PQ RS& M7CntInitTUVI

SelSetUp DabcCdefSET

SelSetDn DabgefSET

SETut9v23>?@w,S!"'KC:B1.;<

-%'(2AE!"SelSetUp(!x)yFOSelSetDn(z3)FG.SETt9:;<'K

23"c:|L-%'(:~-.t9:;<'KN

O8-PQRE!":S!" (=TRUE)-%'(1TNO8-CUc23"

c:;<'K,CD%' (SelSetUp = TRUEyFOSelSetDn = TRUE)(23"c

E!"= FALSEVWSETt9+X+YZ-%[\(]<SETt9]<%

%;<SETt9;<%%^_:`a-%'(

b" : SETt9Ucd;<C'(

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_NO_LOGCHANNEL Z[\]^_ (123

LogChannel)`T

pqrs

: M7CntInitM7CntEnableSet

M7)*+2345)

11-4FM350−1

A5E00164922−01

11.3 M7CntEnableOut

67

89:]<-%'(

=

#include <m7cnt.h>

M7ERR_CODE M7CntEnableOut (

M7CNT_LOGCHANNEL LogChannel,

BOOL SelOut0,

BOOL SelOut1);

123 NO

LogChannel PQ RS& M7CntInitTUVI

SelOut0 0.WXYCD

SelOut1 1.WXYCD

23>?@2A89:vVw,:B1.]<CD%'(2A

E!"SelOut0,SelOut1(z3)FG.2A89HIJ:]<'K|L-%'(89:

]<'KNO8-PQRE!":S!" (= TRUE)-%'(1T

NO8-CU89:]<'K,CD%'(89E!"= FALSEVW

89+X+YZ-%[\(]<89]<%%;<89;<%%^_:

`a-%'(

b" :89Ucd;<C'(

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_NO_LOGCHANNEL Z[\]^_ (123

LogChannel)`T

pqrs

:M7CntInitM7CntDisableOut

#include ”M7CNT.H”

M7CNT_LOGCHANNEL Ch5;

if ((Err = M7CntEnableOut (Ch5, TRUE, TRUE)) != M7CNT_DONE)

... ...

M7)*+2345)

11-5FM350−1 A5E00164922−01

11.4 M7CntEnableSet

67

SETt9:]<-%'(

=

#include <m7cnt.h>

M7ERR_CODE M7CntEnableSet(

M7CNT_LOGCHANNEL LogChannel,

BOOL SelSetUp,

BOOL SelSetDn)

123 NO

LogChannel PQ RS&M7CntInitTUVI

SelSetUp DabcCdefSET

SelSetDn DabgefSET

SETut9vVWw,23>?@:S!"'KC:B1

.]<-%'(2AE!"SelSetUp(!x)yFOSelSetDn(z3)FG.SETt9:]<

'K23"c:|L-%'( :~-.t9:]<'K

NO8-PQRE!":S!"(=T RUE)-%'(1TNO8-CUc

23"c:]<'K,CD%' (SelSetUp = TRUEyFOSelSetDn = TRUE)(23

"cE!"=FALSEVWSETt9+X+YZ-%[\(]<SETt9]

<%%;<SETt9;<%%^_:`a-%'(

23>?@ ‘L’f-%'(SETt9C!uI

mf%l]<SET,[.FG.~0&%'(

b" : SETt9Ucd;<C'(

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_NO_LOGCHANNEL Z[\]^_ (123

LogChannel)`T

pqrs

: M7CntInit M7CntEnableSet

M7)*+2345)

11-6FM350−1

A5E00164922−01

11.5 M7CntInit

67

23>?@:Z-%'(

=

#include <m7cnt.h>

M7ERR_CODE M7CntInit(

M7IO_BASEADDR Baddr ,

UBYTE PType,

UBYTE Channel,

M7CNT_LOGCHANNEL_PTRpLogChannel);

123 NO

Baddr hi@jkl m .noc%p@&_`l m

.noc%p@

PType .I/Ohd(+M7IO_IN_`M7IO_OUT.qrst

1u]^qrsTvtKwx )

Channel RS :

y l m /jkl m .z&| 1

l m .z& .~

pLogChannel PQ RS (S )

23>?@:B'KT 1TNO8'Qmf%'(

BaddrPtypeChannelFG.23>?@:L-%'(FG.

L0&l23>?@>?@:f%'(2345)

C.>?@:B-.23>?@S+-%'(

M7)*+2345)

11-7FM350−1 A5E00164922−01

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_CHANNEL_WRONG (Channel123)Z[\.]^

RSG&5wx

M7CNTE_PTYPE_WRONG (Ptype123)Z[\.]^I/Ohd

G&5wx

M7CNTE_TIMEOUT c@ G

K.T&\$G_

M7CNTE_NO_COUNTER ]^c%[email protected] m /l m `&jkl

m /l m T`5wx

M7CNTE_INVALID_BADDR ]^c%[email protected] m /l m G5wx

#include ”M7CNT.H”

#define CNT_BADDR 320

M7CNT_LOGCHANNEL Ch5;

/* 23u23>?@ 1:Z-%'( */

/* 23>?@ I/O4xM7IO_INC'(*/

/* >?@ Ch5C 0&%'( */

if ((M7CntRet = M7CntInit(CNT_BADDR, M7IO_IN,1,&Ch5))

!= M7CNT_DONE)

... ...

M7)*+2345)

11-8FM350−1

A5E00164922−01

11.6 M7CntLoadAndStart

67

23>?@:-¡¢-%' (£"3¤¥"¦§:B1l¨©ª-. )(

=

#include <m7cnt.h>

M7ERR_CODE M7CntLoadAndStart(

M7CNT_LOGCHANNEL LogChannel,

DWORD LoadVal);

123 NO

LogChannel PQ RS&M7CntInitTUVI

LoadVal !"+

NO8-P«L23g:¬­23>?@®¯°-%'(

±²£"3¤¥"FG.23>?@m¡¢-%'(

m©³'K;1^_C£"3¤¥"¦§:B1l23¨

©VW´C'(µ3¤¥"¦§:B1l23¨©C¨©

¶·0&%'m±&C23g¯°0&%'(

µ3¤¥"¦§:B1l23¨©VWM7CntLoadDirect%l

M7CntLoadPrep:B-.23>?@:-%'(

b" :23>?@ 0Cx)S!"0&¸¹-.1%'(

º»

23g¼½23>?@L0&l23¨©FG.¾Rf%'(

«L23gmQ¿23"ÀÁÂK,:ÃÄ-.Å´01(

M7)*+2345)

11-9FM350−1 A5E00164922−01

efg

0 hijk-%-l(

0 mno-%-l(

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_FS_NO_START `&.l% (%icD

)T`'(Twx

M7CNTE_NO_LOGCHANNEL Z[\]^_ (123

LogChannel)`T

pqrs

: M7CntInitM7CntLoadDirectM7CntLoadPrepM7CntStart

M7CntReadLoadValue

M7)*+2345)

11-10FM350−1

A5E00164922−01

11.7 M7CntLoadComp

67

ÆÇg:¯°-%'(

=

#include <m7cnt.h>

M7ERR_CODE M7CntLoadComp(

M7CNT_LOGCHANNEL LogChannel,

DWORD CmpV1,

DWORD CmpV2,

BOOL SelCmp1,

BOOL SelCmp2);

123 NO

LogChannel PQ RS&M7CntInitTUVI

CmpV1 )*+1

CmpV2 )*+2

SelCmp1 )*+1.WXYCD

SelCmp2 )*+2.WXYCD

vVWw,:B1.2AÆÇgCmpV1,CmpV2:23>?@®¯

°CD%'(ÈÉE!"SelCmp1,SelCmp2FG.pqÆÇgm¯°CDK(SelCmpx

= TRUE)CDR1 (SelCmpx = FALSE):|L-%'(SelCmp1 = TRUEyFO

SelCmp2 = TRUEVW1TNO8-CUÆÇg:ÊP¯°'K,

Ë7C'(HIJÈÉE!"mFALSEVW±pqÆÇg¯°0&¿ÌgmÍ

a0&%'(

b"L : ÆÇgb",-. 0L0&%'(

º»

ÆÇg¼½23>?@L0&l23¨©FG.¾Rf%'(«L

ÆÇgmQ¿23"ÀÁÂK,:ÃÄ-.Å´01(

M7)*+2345)

11-11FM350−1 A5E00164922−01

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_NO_LOGCHANNEL Z[\]^_ (123

LogChannel)`T

pqrs

: M7CntInit

#include ”M7CNT.H”

M7CNT_LOGCHANNEL Ch5;

DWORD CmpValue1=100;

DWORD CmpValue2=200;

if ((Err = M7CntLoadComp (Ch5, CmpValue1, CmpValue2, TRUE, TRUE))

!= M7CNT_DONE)

... ...

M7)*+2345)

11-12FM350−1

A5E00164922−01

11.8 M7CntLoadDirect

67

23>?@:-%'(

=

#include <m7cnt.h>

M7ERR_CODE M7CntLoadDirect(

M7CNT_LOGCHANNEL LogChannel,

DWORD LoadVal);

123 NO

LogChannel PQ RS& M7CntInitTUVI

LoadVal !"+

FG.vVWw,NO8-P«L23g(LoadVal):¬­2

3>?@®¯°-%'( 23>?@m©³Î~0&%'(

b" :23>?@b",-. 0L0&¸¹-.1%'(

º»

23g¼½23>?@L0&l23¨©FG.¾Rf%'(

«L23gmQ¿23"ÀÁÂK,:ÃÄ-.Å´01(

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_NO_LOGCHANNEL Z[\]^_ (123

LogChannel)`T

pqrs

: M7CntInitM7CntLoadAndStartM7CntLoadPrep

M7CntReadLoadValue

M7)*+2345)

11-13FM350−1 A5E00164922−01

#include ”M7CNT.H”

M7CNT_LOGCHANNEL Ch5;

DWORD LoadValue=100;

if ((Err = M7CntLoadDirect (Ch5, LoadValue)) != M7CNT_DONE)

... ...

M7)*+2345)

11-14FM350−1

A5E00164922−01

11.9 M7CntLoadPrep

67

23>?@:ÏÐ-%'(

=

#include <m7cnt.h>

M7ERR_CODE M7CntLoadPrep(

M7CNT_LOGCHANNEL LogChannel,

DWORD LoadVal);

123 NO

LogChannel PQ RS&M7CntInitTUVI

LoadVal !"+

FG.NO8-P«L23g (LoadVal):23ÂÑ*

u+®¯°-%'( ±*u+J23g23>?@¯°0&ÒÓ

VW±J0J23"0&%'(

SETt9STARTt9C µ3¤+mÔ'KVW

ÕÖzmno'K (yFO×Ø23" (ÙÚ )mL0&

.1K)VW

M7CntStartmNO80&lVW

º»

23g¼½23>?@L0&l23¨©FG.¾Rf%'(

«L23gmQ¿23"L23"ÀÁÂK,:ÃÄ-.Å´01(

±&JM7CntReadLoadValue:B1.ÛÔ23g:ÜÝÞK,m

CD%'(--»3AßàáI1Amno-±-.123"+:

â-lVWÒãäå23g:æç%[\(

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_NO_LOGCHANNEL Z[\]^_ (123

LogChannel)`T

M7)*+2345)

11-15FM350−1 A5E00164922−01

pqrs

: M7CntInitM7CntLoadAndStartM7CntLoadDirect

M7CntReadLoadValue

M7)*+2345)

11-16FM350−1

A5E00164922−01

11.10 M7CntPar

67

23>?@:f%'(

=

#include <m7cnt.h>

M7ERR_CODE M7CntPar(

M7CNT_LOGCHANNEL LogChannel,

M7CNT_PARAM_PTRpCntParam);

123 NO

LogChannel PQ RS&M7CntInitTUVI

pCntParam 1234567Lu M7CNT_PARAMe

fh

23>?@ÛÔ:Yè'KVW:NO8-%'(

NO8-ÌQRf:M7CNT_PARAM ét9'KQ

mf%'(²:NO8-«L23>?@:f%

'(äåL¬I~CD%'(

º»

M7CntParmNO80&K,ÛÔfiêëD0

&%'(ÑìØRfCD%[\(

M7CntParmNO80&K,ÛÔfiêëD0

&%'(ÑìØRfCD%[\(

ífîïðÌ`0&.1Kt989]<ñ'ò.óô&%'(

&FG.M7CntPar²çõM7CntEnableSet%lM7CntEnableOut

:íONO8'QmoöK,f%'(

ífëDFG.'CÆÇg,23gmS!"0&%'(

0JM7CntPar:B1lífP23+móô&KË

7ñmf%'(

FM 450− 1CífFG.á÷c>?@øù:â%[\(

fPmno'K,úûfüÝm¡¢-%'(

M7)*+2345)

11-17FM350−1 A5E00164922−01

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_NO_LOGCHANNEL Z[\]^_ (123

LogChannel)`T

pqrs

: M7CntInit

é: M7CNT_PARAM

#include <m7cnt.h>

M7CNT_LOGCHANNELLogChannel;

M7CNT_PARAM DS128;

/*******DS128def *******/

DS128.IntMask= M7CNT_NO_INT; /*:*/

DS128.EncSel= M7CNT_ENC_5V; /* : 5−V !"#$*/

DS128.WireBrk= M7CNT_WIRE_NON; /*%&: %&*/

DS128.SigEval= M7CNT_SIG_1; /*#$'(: #$*/

DS128.FilCnt= M7CNT_FCNT_200KHZ; /*)* !"+,: 200 kHz*/

DS128.FilDI= M7CNT_FDI_200KHZ; /*)* -*+,: 200 kHz*/

DS128.CntMod= M7CNT_CNTMOD_32BIT;/*!".: 32/$*/

DS128.SynMod= M7CNT_SYNMOD_ONE; /*0*12: 3*/

DS128.SynZero= M7CNT_SYNZERO_NO; /*450.: 45*/

DS128.SigInv= M7CNT_SIGINV_NO; /*6B789:: ;9<*/

DS128.ModHWG= M7CNT_HWGATE_LEVEL;/*=>?HW=: @!" .*/

DS128.ConGate= M7CNT_CONGATE_NO; /*!"A=BC !": */

DS128.ConHWG= M7CNT_CONHWG_NO; /*!"AHW= !": */

DS128.ConSWG= M7CNT_CONSWG_NO; /*!"ASW= !": */

DS128.MethDQ0= M7CNT_DQ_AB; /*D,OUT0EF: 1GH*/

DS128.MethDQ1= M7CNT_DQ_AB; /*D,OUT1EF: 1GH*/

DS128.PulsDur= 200; /*IJ: 200 ms*/

DS128.HystVal= 0x0; /*KLM: 0 I*/

DS128.OpMod= M7CNT_CMOD_BA0; /*.: NO!"EF*/

DS128.Reserved12= 0x0;

DS128.Reserved13= 0x0;

DS128.Reserved14= 0x0;

DS128.Reserved15= 0x0;

/****** !"*I6P*QR ******/

if (M7CntPar(LogChannel,&DS128)!=M7CNT_DONE)

/*S6TF*/

M7)*+2345)

11-18FM350−1

A5E00164922−01

11.11 M7CntRead

67

23g:ÜÝÞf%'(

=

#include <m7cnt.h>

M7ERR_CODE M7CntRead(

M7CNT_LOGCHANNEL LogChannel,

DWORD_PTRpActCntV);

123 NO

LogChannel PQ RS&M7CntInitTUVI

pActCntV .@A@efh

23>?@ÛÔ23+X+: pActCntVCÜÝÞf`-%'(

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_NO_LOGCHANNEL Z[\]^_ (123LogChannel)`

T

º»

M7− APIJM7InitISADescyFOM7LoadISADWord:G.IF23ý5u

23+X+:ÜÝÞK,mCD%'(þ ISAÖ+CS+-%'(

pqrs

: M7CntInitM7CntStopAndRead

#include ”M7CNT.H”

M7CNT_LOGCHANNEL Ch5;

DWORD ZaehlerStand;

if ((M7CntRet = M7CntRead (Ch5, &ZaehlerStand)) != M7CNT_DONE)

... ...

M7)*+2345)

11-19FM350−1 A5E00164922−01

11.12 M7CntReadDiag

67

úû:ÜÝÞf%'(

=

#include <m7cnt.h>

M7ERR_CODE M7CntReadDiag(

M7CNT_LOGCHANNEL LogChannel,

M7CNT_DIAGINFO_PTRpDiagInfo);

123 NO

LogChannel PQ RS&M7CntInitTUVI

pDiagInfo ;<=>uM7CNT_DIAGINFOef

h

mNO80&K,DS1:L0&lúûpDiagInfoÜÝü%&`

0&%'(,DúûfüÝ‘>?@p'K’(úûÖ4"0E!"3=1 ):âÞ

KVWM7CntReadDiag:NO8',:y-%'(M7CNT_DIAGINFO éFG

.>?@]úû:$úûS!" DS1m0&%'(

úûS!"DS1 é12C0&%'(

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_NO_LOGCHANNEL Z[\]^_ (123

LogChannel)`T

#include ”M7CNT.H”

M7CNT_LOGCHANNEL Ch5;

M7CNT_DIAGINFO CntDiagInfo;

if ((Err = M7CntReadDiag(Ch5, &CntDiagInfo)) != M7CNT_DONE)

... ...

pqrs

: M7CntInitM7CntReadParError é: M7CNT_DIAGINFO

M7)*+2345)

11-20FM350−1

A5E00164922−01

11.13 M7CntReadLoadValue

67

23g:ÜÝÞf%'(

=

#include <m7cnt.h>

M7ERR_CODE M7CntReadLoadValue(

M7CNT_LOGCHANNEL LogChannel,

DWORD_PTRpActLoad);

123 NO

LogChannel PQ RS&M7CntInitTUVI

pActLoad ActLoad!"+efh

23>?@ÛÔ23g:ÜÝÞfpActLoad`-%'(

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_NO_LOGCHANNEL Z[\]^_ (123

LogChannel)`T

pqrs

: M7CntInitM7CntLoadAndStartM7CntLoadDirectM7CntLoadPrep

M7)*+2345)

11-21FM350−1 A5E00164922−01

11.14 M7CntReadParError

67

f:ÜÝÞf%'(

=

#include <m7cnt.h>

M7ERR_CODE M7CntReadParError(

M7CNT_LOGCHANNEL LogChannel,

WORD_PTRpParError);

123 NO

LogChannel PQ RS&M7CntInitTUVI

pParError pParError1234567?2efh

fFG.úûfüÝ(úûÖ4"0E!"7= 1):âÞKVW

:NO8-%'(änof:ÜÝÞf

pParError`-%'(

f A1. 11-4:-.Å´01(

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_NO_LOGCHANNEL Z[\]^_ (123

LogChannel)`T

pqrs

: M7CntInitM7CntParM7CntReadDiag

é: M7CNT_DIAGINFO

11-4(

#include ”M7CNT.H”

M7CNT_LOGCHANNEL Ch5;

WORD ParError;

if ((Err = M7CntReadParError (Ch5, &pParError))

!= M7CNT_DONE)

... ...

M7)*+2345)

11-22FM350−1

A5E00164922−01

11.15 M7CntReadStatus

67

23+X+:ÜÝÞf%'(

=

#include <m7cnt.h>

M7ERR_CODE M7CntReadStatus(

M7CNT_LOGCHANNEL LogChannel,

M7CNT_STATUS pCntStatus);

123 NO

LogChannel PQ RS& M7CntInitTUVI

pCntStatus @A@uM7CNT_STATUS

efh

mNO8 0&K,23+X +Ö4"yFOt9,89+X+mÜÝ

ü%&M7CNT_STATUS é`0&%'( é±E!"w,

S+CDKFá40&.1%'(

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_NO_LOGCHANNEL Z[\]^_ (123

LogChannel)`T

#include ”M7CNT.H”

M7CNT_LOGCHANNEL Ch5;

if ((Err = M7CntReadStatus (Ch5, &CntStatus)) != M7CNT_DONE)

... ...

pqrs

: M7CntInitM7CntResetStatus

é: M7CNT_STATUS

M7)*+2345)

11-23FM350−1 A5E00164922−01

11.16 M7CntResetStatus

67

23+X+:)S!"-%'(

=

#include <m7cnt.h>

M7ERR_CODE M7CntResetStatus(

M7CNT_LOGCHANNEL LogChannel,

BOOL SelSynr,

BOOL SelCmpStatus);

123 NO

LogChannel PQ RS&M7CntInitTUVI

SelSynr ‘" ’@A@YCDBCDf (TRUE)&_`BC

DK(FALSE)

SelCmpStatus ‘$U ’&‘$’&‘cg$’@A@YCDBCD

f(TRUE)&_`BCDK (FALSE)

23>?@+X+E!" ‘23Ê ’‘· ’‘ÕÖ ’yFO ‘

z ’::B-.)S!"CD%'(

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_NO_LOGCHANNEL Z[\]^_ (123

LogChannel)`T

pqrs

: M7CntInitM7CntReadStatus

é: M7CNT_STATUS

#include ”M7CNT.H”

M7CNT_LOGCHANNEL Ch5;

if ((Err = M7CntResetStatus (Ch5, TRUE, TRUE)) != M7CNT_DONE)

... ...

M7)*+2345)

11-24FM350−1

A5E00164922−01

11.17 M7CntStart

67

23>?@:¡¢-%' − £"3¤¥"¦§:B1l¨©B(

=

#include <m7cnt.h>

M7ERR_CODE M7CntStart(M7CNT_LOGCHANNEL LogChannel);

123 NO

LogChannel PQ RS& M7CntInitTUVI

:B1.£"3¤¥":¶-.23>?@:¡¢-%'(

m©³'K;1^_C£"3¤¥"¦§:B1l23VW

´C'(µ3¤¥"¦§:B1l23¨©VWÕ*m¶·

0&%'(

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_NO_LOGCHANNEL Z[\]^_ (123

LogChannel)`T

M7CNTE_FS_NO_START p?2 : `&.

l%T`'(Twx

pqrs

: M7CntInitM7CntLoadAndStart

#include ”M7CNT.H”

M7CNT_LOGCHANNEL Ch5;

if ((Err = M7CntStart (Ch5)) != M7CNT_DONE)

... ...

M7)*+2345)

11-25FM350−1 A5E00164922−01

11.18 M7CntStop

67

23>?@:¸¹-%'(

=

#include <m7cnt.h>

M7ERR_CODE M7CntStop(M7CNT_LOGCHANNEL LogChannel);

123 NO

LogChannel PQ RS& M7CntInitTUVI

:B1.23>?@:¸¹-%'(.¥"¦§:

B1l¨©(µ3¤yFO£"3¤¥"¦§)C~CD%'(¥"¦§;

-Cq23"³©:~á,Õ*m¶·0&%'(£"3¤¥"¦§:B1l¨

©CM7CntLoadAndStart%lM7CntStart:B1.23>?@

:í+"CD%'(,D23>?@ÒÓgHIJ:B1.¡¢-%'(

'C*u+K23g (M7CntStart)

M7CntLoadAndStartNO8-P¯°0&K23g

º»

µ3¤¥"¦§:B1l¨©CM7CntStop:NO8'VW23

>?@:¡¢CDK:íf.-l²Rf%'(

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_NO_LOGCHANNEL Z[\]^_ (123

LogChannel)`T

M7CNTE_FS_NO_STOP p?2 : `&.l%T`

EFTwx

M7)*+2345)

11-26FM350−1

A5E00164922−01

pqrs

: M7CntInitM7CntLoadAndStartM7CntStartM7CntStopAndRead

#include ”M7CNT.H”

M7CNT_LOGCHANNEL Ch5;

if ((Err = M7CntStop (Ch5)) != M7CNT_DONE)

... ...

M7)*+2345)

11-27FM350−1 A5E00164922−01

11.19 M7CntStopAndRead

67

23>?@:¸¹-23g:ÜÝÞf%'(

=

#include <m7cnt.h>

M7ERR_CODE M7CntStopAndRead(

M7CNT_LOGCHANNEL LogChannel,

DWORD_PTRpActCntV);

123 NO

LogChannel PQ RS&M7CntInitTUVI

pActCntV .@A@efh

23>?@:¸¹-23+X+:ÜÝÞf%'(

.¥"¦§:B1l¨©(µ3¤yFO£"3¤¥"¦§)C~CD

%'(¥"¦§;- Cq23"³©:~á ,Õ*m¶·0&%'(£"

3¤¥"¦§:B1l¨©C M7CntLoadAndStart%lM7CntStart

:B1.23>?@:í+"CD%'(,D23>?@ÒÓgH

IJ:B1.¡¢-%'(

'C*u+K23g (M7CntStart)

M7CntLoadAndStartNO8-P¯°0&K23g

º»

µ3¤¥"¦§:B1l¨©CM7CntStopAndRead:NO8'V

W23>?@:¡¢CDK:íf.-l²Rf%'(

efg

0 hijk-%-l(

0 mno-%-l(

?2M% NO

M7CNTE_NO_LOGCHANNEL Z[\]^_ (123

LogChannel)`T

M7CNTE_FS_NO_STOP p?2 : `&.l%T`

EFTwx

pqrs

: M7CntInitM7CntStopM7CntStartM7CntLoadAndStart

M7)*+2345)

11-28FM350−1

A5E00164922−01

11.20 M7CNT_DIAGINFO

67

éM7CntReadDiag:B1lúû!SuB0&%'(

=

struct

unsigned MdlDef:1; /* u */

unsigned IntFlt:1; /* ÂÑ */

unsigned ExtFlt:1; /* ãÑ */

unsigned PntInfo:1; /* 1>?@yK */

unsigned ExtVolt:1; /* ãÑ */

unsigned FldConn:1; /* Ì@Ð */

unsigned NoConfig:1; /* fÐ */

unsigned ConfigEr:1; /* f */

unsigned MdlType:4; /* 4x+ */

unsigned ChInfo:1; /* >?@ */

unsigned ModInfo:1; /* u */

unsigned :2; /* B */

unsigned SubMdlEr:1; /* Gl/!"-l4¤+ý5u */

unsigned CommFlt:1; /* ¶ t */

unsigned MdlStop:1; /* RUN/STOP ©^_ */

unsigned WtchDogF:1; /* 3b!>!#©³ */

unsigned IntPSFlt:1; /* ÂÑ$ */

unsigned PrimBat:1; /* Ö!X)%& */

unsigned BckupBat:1; /* Ö!!x */

unsigned :1; /* B */

unsigned RackFlt:1; /* ! */

unsigned ProcFlt:1; /* CPU*/

unsigned EpromFlt:1; /* EPROM */

unsigned RamFlt:1; /* RAM */

unsigned ADUFlt:1; /* ADC/DAC*/

unsigned FuseFlt:1; /* &'%& */

unsigned HWIntrF:1; /* xS+füÝó( */

unsigned :1; /* B */

UBYTE ChType; /* >?@4x */

UBYTE LgthDia; /* 1>?@úû)0 */

UBYTE ChNo; /* >?@ */

unsigned GrpErr1:1; /* >?@#x 1 */

unsigned GrpErr2:1; /* >?@#x 2 */

unsigned :6; /* B*/

unsigned Ch1SigA:1; /* >?@1A */

unsigned Ch1SigB:1; /* >?@1B */

unsigned Ch1SigZ:1; /* >?@ 1N */

unsigned Ch1Betw:1; /* >?@1>?@* */

M7)*+2345)

11-29FM350−1 A5E00164922−01

unsigned Ch15V2:1; /* >[email protected]+ */

unsigned :3; /* B */

unsigned Ch2SigA:1; /* >?@ 2A */

unsigned Ch2SigB:1; /* >?@2B */

unsigned Ch2SigZ:1; /* >?@2N */

unsigned Ch2Betw:1; /* >?@2>?@* */

unsigned Ch25V2:1; /* >[email protected]+ */

unsigned :3; /* B */

UBYTE Reserved11; /* B */

M7CNT_DIAGINFO;

M7)*+2345)

11-30FM350−1

A5E00164922−01

11.21 M7CNT_PARAM

67

éM7CntPar :B1l23>?@fB

0&%'(

=

struct UWORD IntMask; /* 45¡9@YCD */

/* YCD0: IOpenGate 45¡9&D'\ *//* YCD1: ICloseGate 45¡9&D¢\ *//* YCD2: Ioflw 45¡9&$\ *//* YCD3: Iuflw 45¡9&cg$\ *//* YCD4: ICmp1Up 45¡9&)*+ 1\ cCd*//* YCD5: ICmp1Up 45¡9&)*++ 1\ g*//* YCD6: ICmp2Up 45¡9&)*+ 2\ cCd*//* YCD7: ICmp2Up 45¡9&)*++ 2\ g*//* YCD8: Izero 45¡9&$U *//* YCD9 bis 11: £¤¥ *//* YCD12: Isync 45¡9&"\ *//* YCD13 bis 15: £¤¥ */

UWORD EncSel; /* ?MgWX */UWORD WireBrk; /* <¦U */UWORD SigEval; /* S§¨ */UWORD FilCnt; /* © */UWORD FilDI; /* © L */unsigned CntMod:1; /* Dl% */unsigned SynMod:1; /* "hd */unsigned SynZero:1; /* "\.$ */unsigned SigInv:1; /* DS.ª, */unsigned ModHWG:1; /* D«^ HWD*/unsigned ConGate:1; /* D¥D D*/unsigned ConHWG:1; /* D¥HWD D */unsigned ConSWG:1; /* D¥SWD D*/unsigned : 8; /* £¤¥ */UWORD MethDQ0; /* DO0.¬ */UWORD MethDQ1; /* DO1.¬ */UWORD PulsDur; /* 1 @­*/UWORD HystVal; /* ®@AB@ */UWORD OpMod; /* l% */UWORD Reserved12; /* £¤¥ */UWORD Reserved13; /* £¤¥ */UWORD Reserved14; /* £¤¥ */UWORD Reserved15; /* £¤¥ */ M7CNT_PARAM;

º»

éBE!",0CZ'KQmf%'(±á-R1,23>?

@LMgmtKVWmf%'(

&+X)+gLCD%[\( HystVal CD%[\(

M7)*+2345)

11-31FM350−1 A5E00164922−01

¯ 11-1 1234567L.°±²³

123 NO L

hd

+.´µ ML©

y

D

Y

C

D

@YCD (·%0).45¡9

IOpenGate ¸¹D'\.45¡9 BOOL @| B 0 | 1 0 0

ICloseGate ¸¹D¢\.45¡9 BOOL @| B 0 | 1 0 1

Ioflw $\.45¡9 BOOL @| B 0 | 1 0 2

Iuflw cg$\.45¡9 BOOL @| B 0 | 1 0 3

ICmp1Up cCdabT)*+1

\.45¡9

BOOL @| B 0 | 1 0 4

ICmp1Dn gabT)*+1

\.45¡9

BOOL @| B 0 | 1 0

5

ICmp2Up cCdabT)*+2

\.45¡9

BOOL @| B 0 | 1 0 6

ICmp2Dn gabT)*+2

\.45¡9

BOOL @| B 0 | 1 0 7

Izero $U .45¡9 BOOL @| B 0 | 1 0 8

Isync "\.45¡9 BOOL @| B 0 | 1 0 1

2

EncSel pWX ·% IF 961− CT1¥K_5VD

S| 24VDS

FM 350/4¥K_ 5VD

S

2 4 V DSº@

24VDS

0 | 1

0| 1| 2

0 −

WireBrk Sc»k <¦U.

;<#

·% A, B, N | A | A,B |

;<

0 | 1 |

2 | 3

0 −

SigEval S.§¨ : ·% ¼½¾|¿½¾|4¿½¾| $C

|ab

0 | 1 |

2 | 3

0 −

FilCnt; ¥© ·% 200kHz|50kHz

IF 961− CT1¤¥

200kHz|20kHz

FM 350&450− 1¤¥

0 | 1 0 −

FilDI L ¥© ·% 200kHz|50kHz

IF 961− CT1¤¥

200kHz|20kHz

FM 350&450− 1¤¥

0 | 1 0 −

M7)*+2345)

11-32FM350−1

A5E00164922−01

¯ 11-1 1234567L.°±²³ , continued

Y

C

D

D

ML©

y

+.´µL

hd

NO123

CntMod DÀÁ BOOL 32YCD(ÂS)|

+/− 31YCD

0 | 1 0 0

SynMod "hd BOOL ¼|Ã"Ä 0 | 1 0 1

SynZero ".$ BOOL $5 |

$

0 | 1 0 2

SigInv D2CB.abÅÆ BOOL Ç,K |

Ç,f

0 | 1 0 3

ModHWG %icD.«^

D

BOOL pÈ MD$ |

?C MD$

0 | 1 0 4

ConGate ÉÊD¬l%¥

D

BOOL | 0 | 1 0 5

ConHWG ÉÊD¬l%¥

%icD

BOOL | B 0 | 1 0 6

ConSWG ÉÊD¬l%¥

ºDicD

BOOL | B 0 | 1 0 7

MethDQ0 DO0.¬ ·% @hC |

)*+ts$T

|

)*+tscg$T

|

cCdab.p ËT‘1

0 |

1 |

2 |

3 |

0 −

MethDQ1 DO1.¬ ·%

cCdab. p ËT 1

@­’\ |

gab.cgp ËT‘1

@­’\

|

p _`cgp

ËT‘1 @­’\

3 |

4 |

5

0 −

PulsDur 1 @­ ·% 0:500 ÌV 0:1F4

ÍV

0 −

HystVal ®@AB@ ·% 0 : 255 ÌV

`«^Twx.123`

§¨VIwx

0 : FF

ÍV

0 −

OpMod l% ·% ÉÊD¬ (D5&

_`) |

SWDÎf¼D¬ |

HWDÎf¼D¬|

SWDÎf^"D¬ |

HWDÎf^"D¬|

0 |

1 |

2 |

3 |

4

0 −

* 8ÏL .¬Ð

M7)*+2345)

11-33FM350−1 A5E00164922−01

11.22 M7CNT_STATUS

67

éM7CntReadStatus:B1l+X++-?B0&%'(

=

struct unsigned StsSet:1; /* @A@: SET1 = */unsigned :1; /* © YCD */unsigned StsSta:1; /* @A@: START1 = */unsigned StsStp:1; /* @A@: STOP1 = */unsigned StsCmp1:1; /* @A@:M1p 1

1 = */unsigned StsCmp2:1; /* @A@:M1p 2

1 = */unsigned :2; /* £¤¥*/unsigned StsRun:1; /* @A@: ¬ */unsigned StsDir:1; /* @A@: ab&

0 = cCd&1 = g*/unsigned StsZero:1; /* @A@: $U

1 = Ñ9*/unsigned StsOflw:1; /* @A@:$

1 = Ñ9*/unsigned StsUflw:1; /* @A@: cg$

1 = Ñ9 */unsigned StsSync:1; /* @A@: "

1 = Ñ9 */unsigned StsGate:1; /* @A@D&1 = '*/unsigned StsSWG:1; /* @A@SWD&1 = '*/ M7CNT_STATUS;

M7)*+2345)

11-34FM350−1

A5E00164922−01

11.23

efg

/.K1ó(efgFG.ô-%'(efgM7ERR_CODE

4xC'(ÒÓefg:æç%'(

M7CNT_DONE:hijk-l

!=M7CNT_DONE: ~Î

noPefg0Rf%[\(/0g1xFG.LCD%'(

LM1!z4 M7CNT.H`0&.1%'(

0

1 99

200 400

−1 −999 M7API (:I/O )

−1000 −1100 !" (:#$%&'()* )

+ 11-2

, )* -.

M7CNTE_FS_NO_START 1 /01"234,5/

67589:;<

=> ?@A>BCDEFG23

4,DHI9J<

M7CNTE_FS_NO_STOP 2 /01"234,5/

KL589:;<

=> ?@A>BCDEFG23

4,DHI9J<

M7)*+2345)

11-35FM350−1 A5E00164922−01

+ 11-3

, )* -.

M7CNTE_CHANNEL_WRONG −10 00 MNOP"QR%&'()

*(Channel)S0T

UV9:;<

IF WXNFM 350"YZ

Channel = 1

FM 450− 1"YZ Channel

= 1 | 2

M7CNTE_PTYPE_WRONG −10 01 MNOP"QR I/O[\

(Ptype)S0TUV

9:;<

PType=M7IO_IN | M7IO_OUT

M7CNTE_NO_LOGCHANNEL −10 02 MNOP"QR%&'()

*(LogChannel)S0

#$5J<

% & '(D]^_G ^

M7CntInitDMNO0

`abGcd%&'()*DeE

9J<

M7CNTE_TIMEOUT −10 03 %&'(@fgPh

%&'(SijF

"50PklmSn

o9G<

@fgGpq4rs(S 4

rs(t9G/ [?

gpq4rs(5V_tDuv0V_

F/w"pq4rs(Sxyz5

FtDuv9J<

M7CNTE_NO_COUNTER −10 04 QR@,g"pq4rs(

/4rs(/0 pq4rs

(/4rs(5/V9:;<

4rs(09G/ [

?gpq4rs("Tu

|@,gS0M7CntInit

"BaddrhQRabF_

tuv9J<

M7CNTE_INVALID_BADDR −10 05 QR@,g"pq4rs(

/4rs(SV9:;<

4rs(09G/ [

?gpq4rs("Tu

|@,gS0M7CntInit

"BaddrhQRabF_

tuv9J<

M7)*+2345)

11-36FM350−1

A5E00164922−01

+ 11-4

, )* -.

M7CNTE_PAR_ENC_SEL 201 lHIh~J_

SxT

M7CNT_PARAM*"~

09G/FM 350"YZ/

DUaF<

M7CNTE_PAR_WIRE_BRK 202 * @"h~J_

"xT

M7CNTE_PAR_SIG_EVAL 203 *"h~J_"

xT

M7CNTE_PAR_FIL_CNT 204 ( 24Vh

~J_"xT

M7CNTE_PAR_FIL_DI 205 ( r(h~J

_"xT

M7CNTE_PAR_SIG_INV 206 x

M7CNTE_PAR_METH_DQ0 207 Q03h~J_"xT

M7CNTE_PAR_METH_DQ1 208 Q13h~J_"xT

M7CNTE_PAR_PULS_DUR 209 (g" M7CNT_PARAM*p

q :Yè-.Å´01M7CNTE_PAR_HYST_VAL 210 ggS

q:Yè-.Å´01(

M7CNTE_PAR_OP_MOD 211 xT234,

M7CNTE_PAR_SW_HW_GATE 212 A>[\SQRabF

_0V_F/A>[\SQRab

F9:;<

M7CNTE_PAR_DIR_IMP_AL 215 (gO\mfg"

/0hJ_ ¡SV9J<

M7CNTE_PAR_AL_GATE 216 !¢A>t£"S¤

"/0A>DEFG234,

P"5J<

M7CNTE_PAR_AL_METH_DQ 217 O3¥g (¦§"

¨,)SZ©9:; ** <

* M7CNT_PARAM0+11-1Dª«<

* * IF 961−CT1 pq4rs(" : r(OS¬$"YZ0h3 ((g3­®¯3 )Dh_ ¡SV9J<r(OS1°¬$"YZ/0±"3Dh589J<

12-1FM350−1 A5E00164922−01

( !"#$

%&'()*)$+,- ./01$23456()78)9)*:;$<=>

?%$@A>B

:;C ./01D-EF!G4HI7J*A>B

KLMNOPL./01:;Q6>1R LED4NJ56S7?:;B

TUVWQXY>?:;B

B

79SAZA)!G:;C SAZA)[\456S7 ]^_`ab)A>B

-C EFcd4e*Jfg(J*A>B

<=>?:;

:;$56S7?[\

:;]^_`

12.1 LED 12-2

12.2 12-2

12.3 ! 12-6

12.4 "#! 12-8

12

12-2FM350−1

A5E00164922−01

12.1 LED

LED !("#)$%&'()

(*#)+,-./0$1

23LED+4/$1

/LED

EPROM TEST

RAM TEST

!"#$%&'( #$%&)*+,-.

/012#$34'( /012#$34567

8 9:;<9=>2?@A$ 9:;<9=>2BCDE

FGHI1L+ /1MJ?@KL5MNMO

24 VDCP<9QHRJSTU5M

?@VE

5.2VDCP<9QHRJSTU5MO

WXYZN[5M

?@VE

5VP<9Q\](^_`ST`a

bcd)

?@VE

/012#$34J9:;<

9=>2BCefgU5MNM

/012#$34VEU567O`

hij9:;<9=>2k?@

56789:

;< =>?56@ABCD5678EFG+./HIEPROMJKL2*

M/D5678E9:DN$1O?PQLDS0DS1RSLED

TUVW4/0 EX YVDN$1O?PQLDS0DS156@A+Z

0/[P\]^_D`a./0$1

12.2

5678V

bcde"#$%*#+fg CPUhijdeEk

l.5678OB(OB82)Emn5678E=>?56@ADN$1

:;MNOTU

12-3FM350−1 A5E00164922−01

TUVWQO>w

TUVWQXY>?wCEF%M->B

PL1L+/1M AC

5.2 VDC:;

./01

./01

RAM ¡

RzGVW¢£

¤¥A:;(U lm1¢£ )

¤¥B:;(U lm1¢£ )

¤¥N:;(U lm1¢£ )

TUVWtu¦

rs-./01VWQ§uACtu4(J ¨©4./01$T

UVWMNORzGVWQXY>?Iª«IQ¬­A>B

TUVW®

TUVWQXY-y?w$<=>?% EF%$¯yA>B

TU°±$TUzw DS0MNODS14²³S7?B

1RLED$ij>?B

TUVWOB(OB82)$OS7?B

TUzwDS0$TUVWOBXY°±4´µS7?B

¶wC·¸)¹ºoS7?B

OB82$RS7J*)*[k CPU$(A>B

TUzw DS0MNO DS1

ªw$TUVWQXY(I%*«°±C TUzw DS0MNODS14²³

S7A>BTUzwDS0C4»wI9¼ DS1C16»wI9¼½¾4»wC

DS0%¿&->B

./01I9zwÀÁ

TUOB$OS7?% TUzwDS0CÂ4XY°±4ÃÄS7A>B79

4»wC OB82¶1Å (»w8Æ11)-XY°±4²³S7A>B

TUzwDS1(MNODS0KÇ)QFC DIAG_INF-./01I9ÀW>%$-y

A>B7C È 1K:;¤¥$ DS04Ä97J*?[k4ÉÊË$@A>B

:;MNOTU

12-4FM350−1

A5E00164922−01

TUzw DS0XY°±®

512-1C TUzwDS0XY°±®Q6(J*A>BÌGw456S7)*

ÍwC>xJÎ)a-C)¹ Ï 0QÁA>B

12-1 DS0

0 0 !" 8:x:00

1 #$ !"#$

RAM%&'

()*+,-.

/0&1234

8:x:01

2 5$67 !"5$89:

;<=>1L+/ 1M?@AB

C"DEDF

5.2 VDCGHI=J?

KLM"DN

5.2 VDCGHI=J?

KLM"DNFOPQ8ER

"DN

5 VS

TUV

GU

8:x:02

3 1W*XY Z[\DS1]4^_` 8:x:03

4 5$;<=J =>^ab 8:x.04

6 TUV 34 TUV ^cd 8:x:06

7 TUV GU efgh12.3GUZ

[i^_`M"jkBDl

8:x:07

1 0 ... 3 /eU& 788^

4 *XYmn 781^

2 3 ()*+,-. opq\

rD5s

8:x:33

3 3 RAMtu opq\

rD5s

8:x:43

6 /0&1234 Hvw,xfg^abl/0

&12?yzB

C]|?~/0,

U/CPUabBC"DEDq

]S^Spl

8:x:46

:;MNOTU

12-5FM350−1 A5E00164922−01

TUzw DS1

TUzwDS1C16»wI9¼A>B½¾4»wC TUzwDS0%¿Ð-

>B512-2C Ñ»wQ6(J*A>BÌGw456S7)*ÍwC>xJÎ

)a-C)¹ Ï 0QÁA>B zwC FC DIAG_INFI9DW544NJ FC

CNT_CTRL1DB4´µS7A>B

12-2 4F11p

4 0 ... 6 *XY/ 7876H8

7 *XY/ 780^

5 0 ... 7 mnB 7810H8

6 0 ... 7 *XY 781^

7 0 *XYe *XYGU8 1^

8 0 SA 8:x:B0

1 SB 8:x:B1

2 SN 8:x:B2

4 5.2 VGHI=J 8:x:B4

5 ... 7 2

9 ... 15 2

TU»ÒÓK-TUvÔGw56_`

TU»ÒÓ4TUz/Q´µ(*[kC 23R SFC 52 ‘Enter user−

specific message in diagnostics buffer’QO>Õ$@A>BÖlG4M?TU

z/w×¥C ´µEVENTN-ØÙS7A>BVWC TU»ÒÓ

4x=1 -´µ%(J x= 0-µ%(J´µS7A>BTU»ÒÓ4CÚÊËÛÜ4pqTUv

ÔGwMNO´µÝÞ$ßA7A>B

Ò1wàÙ

TUVWCÒ1wàÙ-C§u4)J*A>B

:;MNOTU

12-6FM350−1

A5E00164922−01

12.3

$<=>?[k

áâ$./014ÃÄS7?% FM 350C79Qã!(A>B

ã!ä4$<=>?% ./01Q79Qå|(A>B

./01C CæAçèB

56[\

FC CNT_CTL1C Q×¥%é4 FC CNT_CTL1DB4´µ(A>B23

R-·êëìí‘DA_ERR_W’QîJ ï4ð!zG-yA>B512-3C

×¥%79ÊËQ6(J*A>B

12-3 GU

0 GUEM

200 Hw,HYe?R"@ABC"DNF34M"DN

201 xf?R"DN

202 S?R"DN

203 S?R"DN

204 24 V(Svw?R"DN

205 vw?R"DN

206 \bCED

207 DO0TUV?R"DN

208 DO0TUV?R"DN

209 T& ¡¢

211 .-+?R"DN

212 £?¤:BC"DEDF]pq\¥£?¤:BC"DN

213 V(TUV

214 ¦§ ¡¢

215 /0&12‘/pq\I((8¨©?ª«’8TUV^ N8]

z‘/pq\I(8T&¬ 1W8­Mz?®¯ ’.-TUV ¤:

Mq(6EN(?¤:BCql(\°±M"DN²³?´N

216 £µ¶F12\]£µ¶ .-+·¸8k¹º

217 ¨©8«Mq12\]z ¨©“ F»v0p®¯”pq\ ¨©“ F

Iv0p®¯ ”¢M"\bCEDl

:;MNOTU

12-7FM350−1 A5E00164922−01

12-3 GU (A)

218 ¼0½¾12\bCED

219 U*9:“ ”Hw,?¿¡R"DN

220 £µ¶TUV?R"DN

221 À§pq\(Á ¡¢

222 ¦§pq\¨© 1 ¡¢

223 ÃÄÅÆpq\¨© 2 ¡¢

224 GHIÇÈÅT& ¡¢

å|_`

ÏQñò4kóçJôõ(A>Bôõ(zwQ FM 3504ÃÄ(A>B

FM 350C Qö÷ã!(J DBKQøù(A>B

:;MNOTU

12-8FM350−1

A5E00164922−01

12.4

$<=>?[k

C úû¤¥QàÙ(J./01QJü([k4<=(A>B

$56S7?[\

×¥C FC CNT_CTL14NJDB4´µS7A>Bµ OT_ERR$

àÙS7J*?[k FC_CNT_CTL1C$<=(%Q56(A>B23R

-·êëìí ‘OT_ERR_B’QîJ ï4ð!zG-yA>B

ýþ

12-4 »xGU

GU

0 GUEM

1 Év(Ê£.-+^ËÌED

2 .-+^'ED

4 OD?®¯E·¸8k͹BCN

5 TUV 8ÎÏN\µ¶2

6 ͹BCEDgÐ

10 À§pq\(Á ¡¢

11 ¦§pq\¨© 1 ¡¢

12 ÃÄÅÆpq\¨© 2 ¡¢

20 DO0TUV?R"DN

21 DO0TUV?R"DN

22 T& ¡¢

90 efgh5.2]FC CNT_CTL2 (FC 3)i_`

91 efgh5.2]FC CNT_CTL2 (FC 3)i_`

å|_`

FC CNT_CTL1´µOT_ERR_A-Qå|(A>B

A-1FM350−1 A5E00164922−01

ñòC0ð1 Programmable Controllers S7− 300/M7− 300, Module

Specifications-fgS7J*A>B

MNO

vGw !GMNO

^! MNO^"

! #$

%&;MNO'(;Q)¹*7$@A>B

+<",@?-.-C S7−300$ä4RMNO/lwnoQ0U>?%

%:;1'(;$<=>?",$@A>B

RMNO/lwnoQ0U>?24 +<",@?Ìð-CS7− 300Õ3

Q0J¹ÉS*B

! #$

#$ − l~$-@?%HIJ*?[kEPC 45$å(J*?640U(

) * - ¹ É S * B

A

ñò

A-2FM350−1

A5E00164922−01

FM 350−1

WH D (mm) 40 × 125 × 120

250 g

( ) 160 mA

4.5 W

!"#$% $% : 24 VDC

(&'() : 20.4*28.8V)

+,-. /0

!"# 1L+ (1234)56 :

20 mA

!"#24 V

− 1L+ −3V

− 400 mA78-.

!"#5.2 V

− 5.2V 2%

− 300 mA78-.

(9:;< )CPU: 1 VDC=>:?9:;<

@AB&'C

12#$% $% : 24 VDC

(&'() : 20.4*28.8V)

+,-. /0

DEF?

GH? − 30*+ 5 V

IH? + 11*+ 30 V

JK9 mA

LM?N (OPQ ) ≥ 2.5 µs (200 kHz)≥ 25 µs (20 kHz) (M:RFS

TU)

DEF?V

# 2L+ / 2M

WXY Z0DEF?[\]^_56

V

− IH?`a“ 1”

− GH?`a“ 0”

L 2L+ − 1.5 V

3V

bc

− d

− ()

0.5 A

5 mA*0.6 A

efgB 300 µs

A-3FM350−1 A5E00164922−01

DEF?V

hijk (ind.) 2L+ − (45..55 V)lm

78-. /0

n;> 5 V

H? * RS 422

opqr 220 Ω

Dstuvw? L 1.3V

n;>OPQ 500 kHz

S7− 30056WXY xxy

z| !"(n;>~DEF?)

OPQ?

500 kHzv?<? 32 m

z| !"(n;>~DEF?)

OPQ?

500 kHzv?<? 100 m

n;> 24 V

GH? − 30*+ 5 V

IH? + 11*+ 30 V

JK9 mA

LM?N (n;>OPQ ) ≥ 2.5 µs (200 kHz)≥ 25 µs (20 kHz)

(M:RFSTU )

S7− 30056WXY xxy

z| !"(n;>~DEF?)

OPQ?

200 kHzv?<? 20 m

z| !"(n;>~DEF?)

OPQ?

20 kHzv?<? 100 m

A-4FM350−1

A5E00164922−01

B-1FM350−1 A5E00164922−01

B-1 FM 350S7− 300

B-1

S7− 300

6ES7 390− 0AA00− 0AA0

6ES7 392− 2XX00− 0AA0

6ES7 912− 0AA00− 0AA0

!"# (20$!) 6ES7 392− 1AJ00− 0AA0

%&'(! ()2*) 6ES7 390− 5AA00− 0AA0

%&+,-.

2/6 mm%&0123 2*

3/8 mm%&0123 1*

4/13 mm%&0123 1*

6ES7 390− 5AB00− 0AA0

6ES7 390− 5BA00− 0AA0

6ES7 390− 5CA00− 0AA0

456789:;<367

("=>!5"# )

6ES7 974− 0AA00− 0AA0

B

B-2FM350−1

A5E00164922−01

C-1FM350−1 A5E00164922−01

!"#$%&'("#$%)*

Nr.

/1/ SIMATIC S7; S7− 300 Programmable Controller;

Hardware and Installation

/2/ SIMATIC; System Software for S7−300 and S7−400

System and Standard Functions

/3/ Modifying the System during Operation via CiR

STEP7 !"#$%&'()*

C

&'

C-2FM350−1

A5E00164922−01

Glossary-1FM350−1 A5E00164922−01

+,- .,/01234567$%89:;<= >3?@ABCDE4FGB

(HSTEP 7ABCDE4FGBI

DOUBLE

DOUBLEJK LB-MNBO%PBAQR %FMSATBUVRW9%P,7

UVRW9WJKXY!TZ[

PBAQ \]^_ `a bc debc fghijklm(Hno

Y

pqrms^_:PBAQ 4`t(phase quadrature)2u%FMSvw

( x."-^_vwyz|9

qrms^_:PBAQ 4`t(phase quadrature)2u%FMSvw

( x."-^_T~^_vw

(FC)

IEC 11313XYCB-FGB(FC) O(hA3.,-C

B-FGB <=.E++NOFGBW|9!(H CB

-FGB h~W9XY.E+BE

(FM)

CB-FGB67$%(FM) .E+"%AB/.+S7012M7R ¡a

(CPU)1N6M¢£¤.¥^_¦§¨©©O-ª«67$%

FM ¬­ CPUT¤bhO®¯(H°±¬^²nFMn³T

´?B/ `aµH ¶¡012·¸W|9

L#FPO ¹º»¼h½¾hBERO¿fL,À!(H L#FPO ´?

B/^_ÁvÂ;yz ^_AUVRW9%P,7Â;W´?B/XY

´?B/¹º <=WÃÄ¢W|9

ÅÆÇ

Glossary-2FM350−1

A5E00164922−01

!"

%È19 msÉÊÈÃ

#$#

PBAQmsW 0 V (fg)T+24 VË9Ìo!TÍ

QUAD

QUADJK LB-MNBO%PBAQR %FMSATBUVRW9TUVÎW9Ï

¹%WJKXY!TZ[

SFC

SFC(FÐCB-FGB) CPU4ÐDBEFÐÑzXY Ä¢ÒÓ

STEP 7<=.E+Ô2mXYCB-FGB

SINGLEJK

SINGLEJK LB-MNBO%PBAQR %FMSAUVRW9%WJKXY

!TZ[

PBAQmsW 0 V (fg)Ë9Ìo!TÍ

%!

PBAQmsW +24 VË9Ìo!TÍ

STOP

STOP (TÕÖ de63A"B3TnoY×ØhÙ

STOPP

STOPP |A"B3deÚhyz"#$%noYÙ(STOPP

STOPZ[3LÅ )

&'(

x."- LB-MNBO%PBAQ3ÛÜ/+,-|9ݶÞ(2 x.

"-x."-^_vw

ÅÆÇ

Glossary-3FM350−1 A5E00164922−01

&'(

x."-^_ ÝW¶ÞXY(2LB-MNBO%PBAQ1ßàámXY

ÅÆÇ

Glossary-4FM350−1

A5E00164922−01

Index-1FM350−1 A5E00164922−01

+/−31 , 8-20

24 VDC , 3-4

24 V , 3-5 , 1-33-59-6

24 V, 9-5

32 , 8-20

5.2 VDC , 3-4

5 V , 9-3

C

CNT_CTL2 , 5-10

CPU STOP , 5-36

D

Digital input Start DIStatus, 8-63

DI!"#$% &'

DI(#$% &'

DI)*#$% &'

E

ENSET_DN, 8-39

ENSET_UP, 8-39

Ersatzteile, C-1

F

FM 350−1+, , 8-4 -./0 , 8-7 -.12 , 8-5434 , 8-49

FM 350-1S7-400.56, 1-10

FM 350-1.7 8 , 3-2

H

HW9:;: , 8-63

HW9:<:=>?@A!B , 8-63

HW9:CD=>?@A!B , 8-62

L

LED , 1-9

O

OB 40, 8-70EFGHIJK , 8-70

OB82, 12-3

Q

Q0#$% &'L

Q1#$% &'L

QUADMN, 9-8

R

RPM34, 8-57

RPM34 , 8-57

S

Stop DI&':;: , 8-63

SW9!BO , 8-64:;: , 8-64

P.QR

+,SDB<TU , 5-75-9

Index-2FM350−1

A5E00164922−01

V2WXY (Z[ ), 5-33V2WXY (\S]^ ), 5-7Z[ , 5-32

P_H`abcd , 5-34

P\QRdA\S]b , 5-6

efg. , 2-2

h7 , 8-20

ij , 1-8

ik7 , 8-20

ilCT , 12-9

mWnSop , 1-5

qrst , 12-2

+,uvP , 8-38

+,wx , 3-7

+,y4

z7-?@A , 8-41&' I2\S, 8-39|~7T\[b , 8-38qr\S[b , 8-39

+,T , 8-46

+,uvP , 1-2

+, , 8-6

+, , 8-4 7: , 5-16k: , 5-205-27

+,SDB<TUP.QR , 5-75-9

.f , 5-31

. C , 2-2

~TLED, 12-2

9.)* , 8-14, 8-24, 8-64

9. , 8-88-138-18

wx , 3-77:( , 3-8

&[] , 2-3

& 8 , 1-8

-, 8-78-54A, 8-2

-: +,9.!B , 8-21

-: +,y4 , 8-38

-: +,T , 8-46

-: +,T /!, 8-44

-: XY34 , 8-48

7:

+, , 5-1634 , 5-24

7@k STEP 7~7Th(:dA , 5-2

I. ¡ , 5-35

¢SdAFM 350-1., 2-2

XY34 , 8-48

£¤O , 1-3

¥¦34 , 8-55

¥¦34 , 8-55

§¨&(DS0EF©ª , 12-4

§¨&(DS1EF©ª , 12-5

§¨EFGH , 12-212-3OB 82, 12-3«¬­, 12-3

Index-3FM350−1 A5E00164922−01

:;: , 8-2

:;:®( , 5-34

:7¯° , 2-2

=> , 8-2

±²

®U24V , 3-8®U5 V , 3-7

y4, 8-38, 8-2

y4: +, , 8-20

y4: &'L , 8-27, 8-66

z7³´ , 8-20

O , 8-2

34

¥v, 8-59¥¦, 8-55

34RPM, 8-57

349.!B , 8-62

34 , 8-49 7: , 5-24k: , 5-27

µ,h9

!BO , 8-23:;: , 8-23

µ,h?@A9!B , 8-98-138-18

¶· , 9-3

¸¹EF©ª , 1-8

º»+, , 8-10

k:

+, , 5-20345-27

®:

.: , 7-2<TUEF©ª , 7-4

4v+, , 8-15

&T , 12-7

&'L , 3-6¯°, 8-27:;: , 8-308-68(¼®( , 8-28 , 8-67«¬, 8-66

&'L ½V2¾¿ , 8-34

&' , 3-5wxÀÁÂ^ , 3-7wx , 3-7 , 3-6

&'DI Start:;: , 8-22

&'DI Stop:;: , 8-22

à . , 3-4

Ärst , 12-2

ÅÆ , 3-6

, 3-6

k' , 1-8

Ç,h9

:;: , 8-22<: -=>?@A9.!B , 8-22

Ç,h9CD -=>?@A9.!B, 8-21

Ç,h?@A9!B , 8-13

<:ȲXY ;&ÉP , 8-32

<:ÊË4 , 8-32

Index-4FM350−1

A5E00164922−01

ÌÍP, 8-27

Î:;®: , 1-38-35

ÏÐ& , 5-15

ÑÒÃ , 3-6

~7TFC\¢S[W] , 5-16

~7(:EFGH , 1-28-69OB 40, 8-70¯°, 8-69»Ó, 8-69

7 8 , 1-7¸¹EF©ª , 3-2ÔÕ, 3-9

7 8 & , 1-8

Ö×Ã1L+ À1M, 3-4

'Ø.Ù , 1-7

ÚL[, 4-3

TD, 1-8

Û²¥v34 , 8-59

Û²¥v34 , 8-59

Üh , 3-8