CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체...

110

Transcript of CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체...

Page 1: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모
Page 2: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

CONTENTS

1. 3D NAND Big Cycle 도래 6

1) 2017년 삼성전자와 SK하이닉스의 3D NAND 투자 6

2) 3D NAND: 무엇이 달라지나? 8

3) 3D NAND 관련 증착장비 업체 10

4) 3D NAND 투자로 수혜 받는 소재 업체 11

5) 소재 업체: 실적 안정성 및 중장기 성장성 확보 12

2. 반도체 장비 개요 15

1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15

2) 각 공정별 시장 규모 및 점유율 17

3. 반도체 소재: 액체 및 가스의 용도와 현황 20

1) 국내 반도체 공정용 액체 및 가스 업체에 주목 20

2) 반도체 소재 기술 및 업체 정리 21

Appendix. 반도체 공정 A~Z 26

1) 반도체 공정의 흐름 26

2) 웨이퍼의 제조 28

3) 산화(성막) 29

4) 포토 공정 30

5) 식각 공정 31

6) 박리/세정 32

7) 확산(이온주입) 33

8) 증착 공정(성막) 34

9) 연마/CMP(Chemical Mechanical Polishing) 36

10) 게이트 형성 37

11) Probe Test 38

12) Grinding / Wafer Saw 39

13) Die Attach / Wire Bonding 40

14) Molding / Marking 41

15) Package Test 42

16) 패키지의 유형 43

4. Top Picks 및 관심종목 48

솔브레인 (036830) 49

SK머티리얼즈 (036490) 56

Page 3: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

3

반도체 공정 이해하기: 3D NAND에 투자하자

Industry In-depth

Overweight

Top picks

종목명 투자의견 TP(12M) CP(11월1일)

솔브레인(036830) BUY 84,000원 64,500원

SK머티리얼즈(036490) BUY 200,000원 155,400원

Financial Data

투자지표 단위 2014 2015 2016F 2017F 2018F

매출액 십억원 750 966 1,174 1,318 1,466

영업이익 십억원 74 214 272 309 348

세전이익 십억원 71 198 267 306 343

순이익 십억원 52 147 197 223 250

EPS 원 19,250 54,200 72,823 82,401 92,231

증감율 % (17.79) 181.56 34.36 13.15 11.93

PER 배 19.38 11.91 11.10 9.81 8.76

PBR 배 1.42 2.15 2.66 2.24 1.90

EV/EBITDA 배 7.81 6.81 8.55 7.25 6.40

ROE % 6.92 16.86 19.81 18.85 17.86

BPS 원 278,895 325,540 371,944 441,410 520,706

DPS 원 665 1,301 1,301 1,301 1,301

주: 위 종목의 합산 실적

자료: 하나금융투자

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

3D NAND 투자로 인해 Big Cycle 도래

2017년은 삼성전자와 SK하이닉스의 3D NAND 투자가 본격

화될 전망이다. 삼성전자는 평택 공장을 3D NAND 투자로 활

용한다고 언급했으며, 최종적으로는 10~12만장/월 규모의 라

인을 설치할 것으로 예상된다. SK하이닉스는 3D NAND 투자

에 대한 구체적인 계획을 확정하지 않았지만, 소정의 결과물이

연내로 도출되어 의사결정이 조만간 이루어질 것으로 예상한

다. 국내 반도체 업체들의 3D NAND 투자 규모와 시기가 구

체적이지 않지만, 2017~2018년 안에 투자가 활발할 가능성은

상당히 높다는 판단이다. 국내 반도체 장비 및 소재 업체에게

는 큰 기회가 제공될 것으로 판단된다.

반도체 소재 업체가 실적 안정성 확보

국내 반도체 업체들의 점유율 확대와 지위 상승에 따라 국내

소재 및 장비 업체들의 수혜가 지속되고 있다. 특히 3D

NAND는 삼성전자가 선도적으로 개척한 영역으로 국내 장비

및 소재 업체의 실적 레벨업에 기여했다는 판단이다. 실적의

규모 측면에서 글로벌 선도 업체들에 미치지 못하지만, 3D

NAND에서 중요시되는 증착과 식각 공정에 필요한 장비와 소

재를 공급중에 있다. 향후 최소 2년 동안 투자가 진행될 가능

성이 높아 해당 업체들에 대한 투자전략은 유효하다는 판단이

다. 장비와 소재 모두 투자매력이 높지만, 실적의 중장기 안정

성을 감안해서 소재 업체에 대한 비중확대 전략을 제시한다.

Top Picks: 솔브레인, SK머티리얼즈

반도체 소재 업종 최선호주로 솔브레인, SK머티리얼즈를 제시

한다. 3D NAND에서 공정수가 증가하는 증착, 식각에 사용되

는 소재와 가스를 제공하고 있어 수혜가 예상되기 때문이다.

솔브레인은 3D NAND 증설에 따라 식각과 CMP의 수혜가 예

상되며, PER 10.0배로 주가 매력도 높다. SK머티리얼즈는 공

정내 특수가스의 수요 증가와 증착용 가스 및 전구체 공급 확

대가 기대된다.

2016년 11월 2일 I Equity Research

반도체 소재/장비

Page 4: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

4

Summary

그림 1. Global NAND CAPEX 증가

글로벌 업체들의 NAND 투자 증가

0

5,000

10,000

15,000

20,000

25,000

09 10 11 12 13 14 15 16F 17F 18F

DRAM NAND(백만달러)

자료: Gartner, 하나금융투자

그림 2. 삼성전자의 NAND CAPA 현황 및 전망

삼성전자는 17라인과 평택 공장에

3D NAND 투자

0

100

200

300

400

500

600

1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 3Q16 4Q16F 1Q17F 2Q17F 3Q17F 4Q17F

Fab12 Fab16 XiAn Fab 17 평택(천장/월)

자료: 삼성전자, Gartner, 하나금융투자

그림 3. SK하이닉스의 NAND CAPA 현황 및 전망

SK하이닉스는

M14라인에 3D NAND 투자

0

50

100

150

200

250

300

1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 3Q16 4Q16F 1Q17F 2Q17F 3Q17F 4Q17F

M11 M12 M14(천장/월)

자료: SK하이닉스, Gartner, 하나금융투자

Page 5: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

5

그림 4. 국내 반도체 업체의 투자와 반도체 소재 업체들의 외형 성장 추이

소재 업체들의 외형성장률 낮지만,

실적 안정성 확보

0

50

100

150

200

250

300

350

400

450

0

1

2

3

4

5

6

7

1Q03 1Q04 1Q05 1Q06 1Q07 1Q08 1Q09 1Q10 1Q11 1Q12 1Q13 1Q14 1Q15

삼성전자

하이닉스

소재 매출액 지수(우)

(조원)(조원)(조원)(조원)(조원)(조원)(조원)(조원)

25nm

23/20nm

(조원)(조원)(조원)(조원)(조원)(조원)(조원)

28nm

21nm

25nm

35nm

38nm29nm

46nm

44nm

Fab11AFab15Fab11B

Fab12,13

Fab15-2

Fab16

M10Wuxi

M12 M14

(PT, 1Q03 = 100)

Line 17

(조원)

자료: 각사, 하나금융투자

그림 5. 국내 반도체 업체의 투자와 반도체 장비 업체들의 외형 성장 추이

장비 업체들은 실적 변동성 있지만,

외형성장률 높음

0

100

200

300

400

500

600

700

800

0

1

2

3

4

5

6

7

1Q03 1Q04 1Q05 1Q06 1Q07 1Q08 1Q09 1Q10 1Q11 1Q12 1Q13 1Q14 1Q15

삼성전자

하이닉스

장비 매출액 지수(우)

(조원) (PT, 1Q03 = 100)

25nm

23/20nm

28nm

21nm

25nm

35nm

38nm 29nm

46nm

44nm

Fab11AFab15Fab11B

Fab12,13

Fab15-2

Fab16 Line 17

M10

M12 M14

Wuxi

자료: 각사, 하나금융투자

그림 6. 소재 업체들과 글로벌 동종업체 밸류에이션 비교

소재 업체들은 글로벌 동종업체대비

성장률 높은데고 불구하고 저평가

HITACHI

CHEMICAL

AIR PRODS

CABOT

MERCK

TAIYO NIPPON SAN

LINDE

MITSUB GAS CHEM

솔브레인 이엔에프테크놀로지

SK머티리얼즈

원익머트리얼즈

후성

한솔케미칼

0

5

10

15

20

25

-20% -10% 0% 10% 20% 30% 40%

(PER)

(EPS Growth)

자료: Bloomberg, 각사, 하나금융투자

Page 6: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

6

1. 3D NAND Big Cycle 도래

1) 2017년 삼성전자와 SK하이닉스의 3D NAND 투자

3D NAND 투자 증가 삼성전자와 SK하이닉스 양사 모두 2017년에 3D NAND 투자를 집행할 것으로 예상된다.

삼성전자는 평택 공장을 2016년 내에 완공할 예정이며, 2017년 연초에 장비 발주를 시작할

것으로 추정된다. 16년 하반기 17라인에 3D NAND 투자를 집행하며 장비 반입이 진행되고

있는 것으로 파악된다. 평택 공장은 17라인 투자와 별개로 추가적인 CAPA를 확보하는 것이

다. 평택 공장은 월 12만장의 웨이퍼 투입이 가능한 정도의 규모로 파악되며, 2017년 내에

최소 월 3~6만장 이상의 라인을 확보할 것으로 예상된다. SK하이닉스는 아직 3D NAND의

투자에 대한 구체적인 언급이 없는 상황이다. 다만 Toshiba, Micron 등의 업체가 2017년

3D NAND 투자를 단행하는 만큼 SK하이닉스의 3D NAND 투자에 대한 결정도 가까운 시

일 안에 결정될 것으로 판단된다. 북미 고객사향 48단 NAND 품질 승인이 연내에 가능할

것으로 추정되기 때문이다.

그림 7. 삼성전자 NAND 생산능력 현황 및 향후 전망

17라인과 평택에 3D NAND 투자

0

100

200

300

400

500

600

1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 3Q16 4Q16F 1Q17F 2Q17F 3Q17F 4Q17F

Fab12 Fab16 XiAn Fab 17 평택(천장/월)

자료: 삼성전자, 하나금융투자

그림 8. SK하이닉스의 NAND 생산능력 현황 및 향후 전망

M14 라인에 3D NAND 투자

0

50

100

150

200

250

300

1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 3Q16 4Q16F 1Q17F 2Q17F 3Q17F 4Q17F

M11 M12 M14(천장/월)

자료: SK하이닉스, 하나금융투자

Page 7: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

7

글로벌 업체의 2017년 NAND 투자

전년대비 13% 증가 전망

2018년까지도 증가 추세 지속

국내 업체를 필두로 글로벌 업체들의 NAND 투자금액 역시 증가할 것으로 전망된다. 2017

년 글로벌 NAND 투자금액은 178억 달러에 달해 전년대비 13% 증가할 것으로 추정된다.

삼성전자와 SK하이닉스의 투자금액 및 규모가 확정되지 않았지만, 2018년까지 투자가 진행

될 것으로 보여진다.

삼성전자가 시안공장에 월 12만장 정도의 생산시설을 갖추기 위해 투자한 금액은 10조원이

넘는 것으로 파악된다. 화성 공장의 16라인의 최대생산량이 20만장이고 20조원에 근접한

금액이 투자되었기 때문에 투자 규모와 금액을 감안하면 만장당 1조원 내외의 금액이 투자

된 셈이다. 평택 공장의 규모 면에서 최대 시설이며, 또한 시안 공장보다 단수가 올라간 64

단 중심의 생산라인이기 때문에 투자금액이 높아질 것으로 추정된다. 1차적으로 3만장 이상

의 생산시설을 확보하는 초기 투자금액은 15조원 이상으로 알려졌다. 2019년 안에는 평택

공장의 생산능력은 월 12만장 규모로 증가할 것이며, 그에 따라 추가적으로 10조원 이상이

투자될 것으로 추정된다.

그림 9. 글로벌 NAND CAPEX 전망

2018년까지 NAND 투자 증가

0

5,000

10,000

15,000

20,000

25,000

09 10 11 12 13 14 15 16F 17F 18F

DRAM NAND(백만달러)

자료: Gartner, 하나금융투자

표 1. 삼성전자 fab 별 투자금액 (단위: 십억원)

2010 2011 2012 2013 2014 2015

메모리 11라인 241 238 203

메모리 12라인 579 451 359 260

메모리 13라인 703 119 560 295 326 1227.6

메모리 14라인 277 133

메모리 15라인 3,107 1,022 200 2,503 2,075 1,359

메모리 16라인 3,094 3,558 936 368

메모리 17라인 3,757 2,750

메모리 18라인 (평택) 439

LSI S라인 1,046 175

LSI S1라인 925 4,154 291 363 520.7

LSI 1라인 재건축 202 107

LSI S3라인 747 180 1266.1

증설 및 보완투자 6,240 6,533 4,953 5,608 4,990 4,542

Total 12,193 12,892 14,094 10,640 12,059 12,104

YoY Grwoth 153% 6% 9% -25% 13% 0%

자료: 삼성전자, 하나금융투자

Page 8: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

8

2) 3D NAND: 무엇이 달라지나?

3D NAND는 미세공정 한계에 봉착해

수직으로 쌓아 올리는 구조

3D NAND는 평면 미세공정전환으로는 셀 간의 간격이 좁아져 전자가 누설되는 간섭현상이

심화되어 한계에 봉착해 도입된 제조공정이다. 구조적인 측면에서 보면 기존 2D NAND가

평면으로 배열하던 것을 3D NAND는 원기둥 모양의 트랜지스터를 수직으로 쌓아 올린 후

에 쌓인 기둥들을 배열하는 형태이다. NAND는 DRAM과 달리 트렌지스터 하나로 구성되어

있어 구조가 단순해 수직으로 쌓을 수 있다. DRAM은 데이터를 저장하는 캐퍼시터와 트렌

지스터로 구성되어 있어 구조가 상대적으로 복잡하다.

그림 10. 2D NAND vs 3D NAND

3D NAND는 원기둥 모양으로

적층하는 구조 FloatingGate

2DCTF

3DCTF

3DV-NAND

ControlGate

Layer 32

Layer 1S D

Substrate-Si Substrate-Si

S DSi-ChannelInsulator

GateC

ontr

ol

Gate

Insu

lato

r

자료: PC PERSPECTIVE, 하나금융투자

그림 11. 3D NAND 구조 그림 12. DRAM은 트랜지스터와 캐퍼시터로 구성

① Channel ② Gate Stack ③ 3D Shaping

Cell Periphery

Capacitor

Source Drain

P-Si SUBSTRATE

2-D CHANNEL

SiO2

Gate

자료: 삼성전자, 하나금융투자 자료: 하나금융투자

Page 9: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

9

3D NAND의 공정 특성상

증착과 식각이 중요

3D NAND는 원통 모양의 트랜지스터를 수직으로 쌓아 올리기 때문에 증착 공정의 횟수 증

가가 불가피하다. 그리고 증착 공정이 진행되며 두꺼워진 층 및 막에 구멍을 뚫거나 특정 층

을 제거하기 위한 식각 공정의 난이도 및 횟수도 동반 상승한다. 따라서 3D NAND의 투자

집행 및 향후 단수 증가에 직접적인 영향을 받는 공정은 증착과 식각으로 판단한다. 공정의

난이도와 중요성을 고려했을 때 관련 장비 및 소재 업체의 수혜가 기대된다.

그림 13. 3D NAND 공정 흐름도

적층 후에 식각으로 관통 홀을 생성

→ → →

← ← ←

→ →

SiliconSubstrate

OxideNitrideOxideNitrideOxideNitrideOxide

산화막/질화막증착 관통홀 ETHCHING 폴리실리콘증착 산화막 증착

원통형구조로 식각질화막 식각내부 산 화막 증착내부 질 화막 증착착

컨트롤 게이트간 분리컨트롤 게이트 형성유전체 증착

자료: 하나금융투자

그림 14. 3D NAND 원통형 구조의 축적

셀간 공간확보로데이터간섭현상감소

자료: 삼성전자, 하나금융투자

Page 10: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

10

3) 3D NAND 관련 증착장비 업체

PE CVD와 ALD 장비 중용 3D NAND의 ONO, ACL, ARC 증착을 위한 PE CVD장비 수요가 증가할 전망이다. 높이

쌓으면서 휘어지는 현상을 막기 위해 얇은 막질을 구현하는 ALD(Atomic Layer

Deposition: 원자층 증착)장비도 중요해질 것이다. 장비단수를 올릴 때마다 ONO 증착 횟수

가 늘어나는데, ONO는 Oxide-Nitride-Oxide(산화물-잘화물-산화물)의 약자로 산화물과

질화물을 순차적으로 쌓는 공정이다. O는 절연막질을 형성하고, N은 임시적인 층으로 이후

공정에서 식각하는 막질이다. 또한 단수가 올라갈수록 더 깊은 식각공정이 필요하기 때문에

더 두꺼운 막질 구현이 필요하다. 보다 두꺼운 막질인 ACL(Amorphous Carbon Layer: 비

결정질탄소막)을 증착하는 수요가 증가할 것이다. ARC(Anti Reflective Coating: 무반사

코팅) PE CVD는 포토 공정상 난반사를 막기 위해 사용되는 장비이다. 원익IPS는 ONO증착

과 ALD장비를 테스는 ACL증착장비를 공급하고 있어 수혜가 예상된다.

그림 15. 증착과 식각 공정의 시장규모 성장률이 양호할 것으로 전망

0

2,000

4,000

6,000

8,000

10,000

12,000

2009 2010 2011 2012 2013 2014 2015 2016F 2017F 2018F

노광 증착 식각, 박리 및 세정 공정 컨트롤(백만달러)

자료: Gartner, 하나금융투자

표 2. 공정별 장비 공급 업체

공정 장비 주요 업체

연마(CMP) 케이씨텍, Applied Materials, Ebara

포토(Lithography) ASML, Nikon, Canon

식각(Etching) Lam, Tokyo Electron, Applied Materials

박리(Strip) 피에스케이, Lam, Hitachi High Tech

세정(Cleaning) 케이씨텍, 피에스케이, 테스, Screen Semiconductor, Tokyo Electron

이온/불순물 주입(Doping) Applied Materials, Axcelis, SMIT

증착(Deposition) 원익IPS, 테스, 주성엔지니어링, 유진테크, Applied Materials, Lam, Tokyo Electron

주: 3D NAND의 직접적인 수혜 종목 Bold 처리

자료: 하나금융투자

Page 11: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

11

4) 3D NAND 투자로 수혜 받는 소재 업체

솔브레인: 식각

SK머티리얼즈: 증착, 세정

원익머트리얼즈: 증착, 식각

한솔케미칼: 세정

3D NAND의 증착 및 식각 공정에 사용되는 특수가스 및 소재의 수요도 증가할 것으로 기대

된다. 3D NAND에서는 질화물(N)을 고선택비 인산액(HSN: High Selectivity Nitride)으로

식각한 후에 메탈 CVD로 텅스텐 게이트를 올린다. 텅스텐 증착 공정이란 WF6(육불화텅스

텐)과 H2(수소)를 반응시켜 텅스텐 막질을 형성하는 것을 말한다. 관련 소재인 WF6는 SK

머티리얼즈와 후성이 공급한다. 또한 원익머트리얼즈도 PE CVD 공정에 필요한 특수가스를

공급중이다.

앞서 언급했듯이 단수가 올라갈수록 식각의 기술도 상향되어야 한다. 예를 들어, 48층 3D

낸드는 종전 32층 제품보다 50% 이상 긴 구멍을 뚫어야 한다. 구멍이 길어지면서 난이도가

올라가는 것은 물론이고, 구멍이 깊어지면서 균일한 두께로 뚫는 것 또한 어려워진다. 이에

따라 건식 식각 기술이 고도화되어야 한다. 건식 식각에 필요한 특수가스는 원익머트리얼즈

가 공급중이며, 공정 후에 세정을 위한 가스는 SK머티리얼즈가 공급한다. 막질 두께가 얇은

질화물 식각을 위해서는 보다 세밀하게 공정을 진행할 수 있는 습식 식각 공정이 필요하다.

식각 소재는 솔브레인과 이엔에프테크놀로지가 공급중인 것으로 파악된다.

증착과 식각도 중요하지만, CMP(Chemical Mechanical Polishing: 화학적 기계 연마) 공

정도 수혜는 있다. CMP에 필요한 재료인 슬러리는 솔브레인과 케이씨텍이 공급한다.

표 3. 식각 방식에 다른 차이

건식 습식

소재 플라즈마 가스 식각액

방식 물리 + 화학 화학

선택도 낮다 높다

식각 형태

Etch

Etch

미세패턴가공 용이 어렵다

생산성 낮다 높다

자료: 하나금융투자

표 4. 공정별 소재 공급 업체

공정 재료 주요 업체

CMP슬러리 솔브레인, 케이씨텍, Hitachi Chem, AirProduct, Cabot

Photoresist(감광제) 동진쎄미켐, 동우화인켐, Merck

Etchant(습식식각) 솔브레인, 이엔에프테크, Mitsubishi Chem, Sumitomo Chem, Hitachi Chem

Etching Gas(건식식각) SK머티리얼즈, 원익머트리얼즈, 후성,

Sumitomo Seika Chem, Kanto Denka, Taiyo Nippon Sanso, Air Product, Showa Denko, Linde

세정재료 한솔케미칼, SK머트리얼즈 , tsubishi Gas Chem

전구체 원익머트리얼즈, 디엔에프, 한솔케미칼, 후성, 솔브레인, Air Product

증착 Gas SK머티리얼즈, 원익머트리얼즈, 후성, Sumitomo Seika Chem, Kanto Denka, Taiyo Nippon Sanso, Air Product, Showa Denko

주: 3D NAND의 직접적인 수혜 종목 Bold 처리

자료: 하나금융투자

Page 12: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

12

5) 소재 업체: 실적 안정성 및 중장기 성장성 확보

반도체 소재 업체가

실적 안정성은 확보

3D NAND의 투자와 관련해서 장비와 소재 업체 모두 수혜가 있기 때문에 전반적으로 비중

확대 전략이 유효하다는 판단이다. 장비 업체의 매출액은 고객사의 투자 금액과 상관계수는

0.85로 매우 높게 나타났다. 소재의 경우에는 고객사의 투자보다는 생산라인의 가동과 매출

액이 연동될 것이다. 다만 투자가 감소한다는 것은 업황의 부진을 의미하기 때문에 소재 업

체의 매출액 감소도 불가피하다. 그럼에도 소재 업체의 매출액 변동폭은 장비 업체보다 작게

나타난다. 반면에 투자금액의 증가시에는 외형확대가 단기간에 크게 나타나며 그에 따른 시

가총액 변동폭도 동반되는 것으로 확인된다. 따라서 투자자의 성향에 따라 장비와 소재의 상

대적인 비중확대 전략이 합리적일 것으로 판단한다. 하나금융투자는 실적이 안정적인, 즉 변

동성이 작아 위험부담이 적은 소재 업체를 추천한다.

그림 16. 삼성전자, SK하이닉스의 투자금액과 반도체 소재 업체들의 외형성장

생산라인의 가동과 함께 하기

때문에 안정적 성장이 특징

0

50

100

150

200

250

300

350

400

450

0

1

2

3

4

5

6

7

1Q03 1Q04 1Q05 1Q06 1Q07 1Q08 1Q09 1Q10 1Q11 1Q12 1Q13 1Q14 1Q15

삼성전자

하이닉스

소재 매출액 지수(우)

(조원)(조원)(조원)(조원)(조원)(조원)(조원)(조원)

25nm

23/20nm

(조원)(조원)(조원)(조원)(조원)(조원)(조원)

28nm

21nm

25nm

35nm

38nm29nm

46nm

44nm

Fab11AFab15Fab11B

Fab12,13

Fab15-2

Fab16

M10Wuxi

M12 M14

(PT, 1Q03 = 100)

Line 17

(조원)

자료: 각사, 하나금융투자

그림 17. 삼성전자, SK하이닉스의 투자금액과 반도체 장비 업체들의 외형성장

고객사의 투자금액에 따라

외형 성장이 크게 나타나지만,

반대 급부로 실적 변동성 상존

0

100

200

300

400

500

600

700

800

0

1

2

3

4

5

6

7

1Q03 1Q04 1Q05 1Q06 1Q07 1Q08 1Q09 1Q10 1Q11 1Q12 1Q13 1Q14 1Q15

삼성전자

하이닉스

장비 매출액 지수(우)

(조원) (PT, 1Q03 = 100)

25nm

23/20nm

28nm

21nm

25nm

35nm

38nm 29nm

46nm

44nm

Fab11AFab15Fab11B

Fab12,13

Fab15-2

Fab16 Line 17

M10

M12 M14

Wuxi

자료: 각사, 하나금융투자

Page 13: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

13

소재 업체의 안정적인 실적이 매력 장비 업체는 고객사의 투자 감소로 인해 매출액의 변동폭이 크게 나타났고, 업체 전반적으로

영향이 불가피했을 것이다. 그에 따라 영업이익도 그에 동반되었고 시가총액의 변동도 동행

되는 것을 확인할 수 있다.

소재 업체의 영업이익이 13~14년에 걸쳐 악화된 원인은 솔브레인의 글래스 슬리밍 쪽의 대

폭 영업적자와 후성의 냉매가스의 적자전환에 의한 것이다. 소재 본연의 영업손실 폭은 크게

나타나지 않았을 것으로 추정된다. 영업적자가 크게 나타났음에도 시가총액이 연동되지 않

은 것은 소재 업체 전체의 영업 악화가 아니라 특정 업체에 의한 것이기 때문이다.

다시 한번 정리하면, 위험부담이 높지만 시가총액 증가폭이 큰 장비 업체에 투자하느냐, 시

가총액 증가폭은 낮지만 변동성이 적은 소재 업체에 투자하느냐는 투자자의 성향과 투자기

간에 따라 상이하다. 현재 17라인의 장비 반입이 진행중이고, 17년 상반기 중에 평택공장의

장비 반입이 시작될 것으로 전망되기에 장비 업체는 단기적으로 양호한 주가흐름을 예상한

다. 소재 업체는 16년 4분기부터 17라인의 증설 분이 17년 하반기부터 평택공장의 증설 분

이 실적에 반영되기 시작할 것으로 추정한다. 소재 업체는 17년 연간으로 보유 전략이 유효

하며, 장비 업체는 삼성전자의 투자 공백기를 예의주시할 필요가 있을 것이다.

그림 18. 장비 업체 실적과 시가총액의 변동성

변동성이 크지만 시총 증가폭도 크다

0

1,000

2,000

3,000

4,000

5,000

6,000

(40)

(20)

0

20

40

60

80

100

120

140

1Q09 3Q09 1Q10 3Q10 1Q11 3Q11 1Q12 3Q12 1Q13 3Q13 1Q14 3Q14 1Q15 3Q15 1Q16

장비 업체 영업이익 장비 시가총액(우)(십억원) (십억원)

자료: 각사, 하나금융투자

그림 19. 소재 업체의 영업이익 하락은 일부 업체의 다른 사업부에 의한 실적 악화

소재 업체의 안정적인 실적 흐름처럼

시가총액 변동성도 상대적으로 작다

0

1,000

2,000

3,000

4,000

5,000

6,000

0

20

40

60

80

100

120

140

1Q09 3Q09 1Q10 3Q10 1Q11 3Q11 1Q12 3Q12 1Q13 3Q13 1Q14 3Q14 1Q15 3Q15 1Q16

소재 업체 영업이익 소재 시가총액(우)(십억원) (십억원)

자료: 각사, 하나금융투자

Page 14: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

14

글로벌 동종업체와 동등한

밸류에이션 가능하다고 판단

Top Picks: 솔브레인, SK머티리얼즈

관심종목: 한솔케미칼, 원익머트리얼즈

글로벌 동종업체와의 실적 및 주요 투자지표를 비교하면 국내 업체들이 저평가중에 있다. 소

재는 글로벌 동종업체의 PER 평균이 16.3배, 국내 업체는 12.0배로, 장비는 글로벌 평균이

13.2배, 국내 평균은 10.0배로 각각 36%%, 32% 저평가되어 있다. 밸류에이션의 차이는 글

로벌 업체의 경우에 다양한 포트폴리오와 고객사를 확보해 매출 및 이익 규모에서 차이가

나기 때문으로 생각된다. 2016년부터 진행중인 3D NAND 투자와 2017년 글로벌 반도체

업체들의 3D NAND 투자 본격화에서는 국내 업체들의 저평가 요소가 해소될 가능성이 높

다고 판단된다. 3D NAND 공정에서 핵심인 증착과 식각 공정에 필요한 장비와 소재를 이미

삼성전자에 공급했기 때문이다. 향후 증설 시에도 기존 업체들의 수주 가능성은 단연 높을

것으로 판단되며, 현재 사용중인 소재에 대해서도 변경 리스크를 지지는 않을 것으로 판단되

기 때문이다.

하나금융투자는 실적의 중장기 안정성을 고려해서 소재 업체를 선호한다. 소재 업체 중에서

는 EPS 성장률과 PER, 시장에서의 지위와 제품의 다양성을 고려해서 솔브레인과 SK머티

리얼즈를 최선호주로 제시한다. 관심종목은 다양한 제품을 바탕으로 성장성이 기대되는 원

익머트리얼즈, 독과점적인 시장 지위를 확보한 한솔케미칼이다.

그림 20. 반도체 소재 Global Peer 및 국내 업체

국내 소재 업체들은 높은

EPS Growth에도 불구하고 저평가

HITACHI

CHEMICAL

AIR PRODS

CABOT

MERCK

TAIYO NIPPON SAN

LINDE

MITSUB GAS CHEM

솔브레인 이엔에프테크놀로지

SK머티리얼즈

원익머트리얼즈

후성

한솔케미칼

0

5

10

15

20

25

-20% -10% 0% 10% 20% 30% 40%

(PER)

(EPS Growth)

자료: Bloomberg, 하나금융투자

그림 21. 반도체 장비 Global Peer 및 국내 업체

국내 장비 업체들도

밸류에이션 할인요소 제거중

AMATLAM TEL

HITACHI HIGH TEC

케이씨텍

피에스케이

주성엔지니어링

유진테크

0

2

4

6

8

10

12

14

16

18

-5% 0% 5% 10% 15% 20% 25% 30% 35% 40% 45%

(PER)

(EPS Growth)

자료: Bloomberg, 하나금융투자

Page 15: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

15

2. 반도체 장비 개요

1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등

2017년 전공정 장비는 6% 성장 반도체 전공정장비 시장의 규모는 2016년 271억달러에 달할 것으로 추정되어 NAND의

315억 달러 시장 규모와 유사한 수준이다. 2017년에는 357억 달러로 전년대비 6% 성장할

것으로 전망된다. 시장조사기관인 Gartner가 2013년까지 서비스했던 자료에 의하면, 전공

정장비 시장의 규모는 후공정장비 시장의 5배 정도의 규모이다. 후공정장비는 웨이퍼에서

칩을 절단해 금속 배선과 연결하고 패키징하는 장비와 각종 테스트 장비를 말한다. 전공정장

비와 후공정장비의 시장 규모가 반도체 업체들이 증설 시에 각각의 공정장비를 구입하는 비

용일 것이다. 따라서 반도체 업체들의 CAPEX 중 75%는 전공정에, 15%는 후공정으로 집행

될 것으로 추정한다.

그림 22. 반도체 장비시장 규모

0

1,000

2,000

3,000

4,000

5,000

6,000

7,000

8,000

9,000

10,000

06 07 08 09 10 11 12 13 14 15 16F 17F 18F

(백만달러)

자료: Gartner, 하나금융투자

그림 23. 메모리 시장 규모 (DRAM, NAND)

0

5,000

10,000

15,000

20,000

25,000

30,000

35,000

40,000

45,000

50,000

06 07 08 09 10 11 12 13 14 15 16F 17F 18F

DRAM NAND Flash(백만달러)

자료: Gartner, 하나금융투자

Page 16: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

16

증착, 노광, 식각이 3대 장비 반도체 업체들의 투자 집행시에 75%를 차지하는 전공정이 중요할 것이다. 전공정 장비의 시

장 규모를 세부적으로 살펴보면, 2016년 기준으로 노광과 증착, 식각 공정의 비중이 각각

24%, 23%, 27%로 높은 비중을 차지한다. 3개의 공정은 전공정 중의 핵심 공정이라 할 수

있으며, 앞서 언급한 것과 같이 3D NAND의 투자에 있어서 식각과 증착은 그 중요도가 더

높아질 것으로 판단된다. 그에 따라서 2018년에는 식각과 증착의 공정 비중이 각각 1%p 확

대될 전망이다. 포토 공정은 비메모리 반도체에서 미세공정을 위한 고가의 EUV 노광장비의

도입이 예상되고 있다. 핵심 3대 공정은 2018년까지 전공정 장비 내에서 상대적으로 높은

성장률을 시현할 것으로 기대된다.

그림 24. 전공정 중에서도 포토, 증착, 식각이 핵심

0%

10%

20%

30%

40%

50%

60%

70%

80%

90%

100%

06 07 08 09 10 11 12 13 14 15 16F 17F 18F

포토 PR처리 이온/불순물 주입 산화/확산 식각 박리 증착 CMP 기타 세정

자료: Gartner, 하나금융투자

그림 25. 증착과 식각은 2018년까지 높은 성장률 전망

0%

1%

2%

3%

4%

5%

6%

7%

8%

16F 17F 18F

전공정 포토 식각 증착

자료: Gartner, 하나금융투자

Page 17: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

17

2) 각 공정별 시장 규모 및 점유율

국내 업체들이 포함된 공정장비는

증착, 박리, CMP

3대 전공정인 포토, 식각, 증착 장비와 전공정 중에 국내 업체들이 포함되어 있는 박리와

CMP 장비의 시장 규모 및 전망, 점유율에 대해 알아보겠다.

국내 업체들이 다수 포진한

증착 장비

전공정 중에서 가장 큰 장비시장은 증착이다. 증착장비 시장은 2016년 72억 달러에 달하며,

2018년에는 80억 달러 규모로 증가할 전망이다. 증착 공정이 단순하게 1개 공정이 아니기

때문에 해당하는 장비의 종류가 다수 있다. 그 중에서도 CVD(Chemical Vapor

Deposition: 화학적 기상 증착)장비가 증착 장비 내에서 66%로 가장 높은 비중을 차지한

다. CVD장비에는 앞에서도 언급한 ALD장비와 PE CVD장비가 포함되어 있다. CVD장비에

서는 Applied Materials가 30%의 점유율로 1위, TEL(Tokyo Electron)과 Lam Research

가 2위권이다. 국내 업체들은 PE CVD와 ALD장비를 공급중인데, 해당 장비 내로 국한하면

그림 27보다는 점유율이 높다. 2016년부터 해당 장비 내에서 점유율이 확대될 것으로 추정

되는데, 삼성전자의 3D NAND 투자 안에서 국내 업체들의 수주가 지속되고 있기 때문이다.

그림 26. 증착장비 시장 규모 추이 및 전망 그림 27. 증착 장비 중에는 국내 업체들이 다수

0

1,000

2,000

3,000

4,000

5,000

6,000

7,000

8,000

9,000

06 07 08 09 10 11 12 13 14 15 16F 17F 18F

(백만달러)

Applied

Materials

29%

Tokyo

Electron

21%

Lam

20%원익IPS

3%

테스

1%

주성

엔지니어링

1%

유진테크

1%

Others

24%

자료: Gartner, 하나금융투자 자료: Gartner, 하나금융투자

Page 18: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

18

포토 장비는 ASML이 단연 1위 포토 장비의 시장 규모는 전공정 내에서 두번째로 크다. 2016년 63억 달러에서 2018년 69

억 달러 규모로 성장할 전망이다. 포토 장비는 반도체 패턴을 형성하기 위해 웨이퍼 위에 자

외선을 쬐어주는 장비로서 네덜란드의 ASML이 독보적인 75%의 점유율을 확보하고 있다.

미세화 패턴을 위해 보다 짧은 파장의 빛이 필요하기 때문에 광학 기술이 노광장비의 경쟁

력이라 할 수 있다. ASML은 차세대 광원인 EUV 장비에 대한 개발이 완료된 것으로 파악

되며, 비메모리 반도체 업체들이 10나노 이하 공정에 장비를 도입할 것으로 예상된다. 일본

업체인 Nikon과 Canon이 뒤를 잇고 있지만, 그 점유율 차이가 쉽게 좁혀지지는 않을 것으

로 추정된다.

그림 28. 포토장비 시장 규모 추이 및 전망 그림 29. 포토장비는 상위 4개 업체가 점유율 98% 차지

0

1,000

2,000

3,000

4,000

5,000

6,000

7,000

8,000

9,000

10,000

06 07 08 09 10 11 12 13 14 15 16F 17F 18F

(백만달러)

ASML

75%

Nikon

11%

Canon

6%

NuFlare

6%

Others

2%

자료: Gartner, 하나금융투자 자료: Gartner, 하나금융투자

식각 장비에서는 세메스가 5위 전공정 중에 3번째 비중을 차지하는 식각 장비는 2016년 62억 달러에서 2018년 70억 달러

로 증가할 전망이다. 증착, 포토 공정과 유사한 수준의 성장률을 시현하며 그 비중은 유지될

것으로 추정된다. 식각 장비는 Lam Research가 1위 업체인데 시장 점유율이 절반 이상인

것으로 파악된다. 증착과 식각 장비는 1~3위 업체가 동일한데 증착 3위 업체인 Lam

Researh가 식각에서는 1위이고, Applied Materials는 그 반대이다. 2015년 기준 국내 업

체인 세메스가 식각 장비에서 2%의 점유율을 확보중이다. 테스가 최근에 식각 장비 개발이

완료된 것으로 파악되어 향후 매출 발생 여부에 주목할 필요가 있다는 판단이다.

그림 30. 식각장비 시장 규모 추이 및 전망 그림 31. 식각장비는 글로벌 업체들이 독식

0

1,000

2,000

3,000

4,000

5,000

6,000

7,000

8,000

06 07 08 09 10 11 12 13 14 15 16F 17F 18F

(백만달러)

Lam

Research

52%Tokyo

Electron

20%

Applied

Materials

18%

Hitachi

High-

Technologie

s

5%

Others

5%Hitachi High

-Technologies

5%

자료: Gartner, 하나금융투자 자료: Gartner, 하나금융투자

Page 19: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

19

CMP 장비에서는 케이씨텍이 선전중 CMP(Chemical Mechanical Polising: 화학적 연마)공정은 배선 단차를 낮추거나 평탄화하

는 공정이다. 증착 공정에서 형성한 막질의 표면을 연마해서 평탄화시켜 다음 공정을 수월하

게 만들어준다. 2016년 시장 규모는 11억 달러로 전공정 장비 내에서 4%의 비중을 차지한

다. 2018년에는 12억 달러로 증가할 전망인데, 증착 공정의 횟수가 늘어나면서 CMP 장비

에 대한 수요도 증가 가능할 것으로 기대된다. 세계 최대 장비 업체인 Applied Materials가

70% 점유율로 1위이며, 국내 업체인 케이씨텍이 3%의 점유율을 차지하고 있다. 케이씨텍은

아직 DRAM향 장비만 공급중에 있어 3D NAND 투자에 의한 수혜가 제한적이다. 다만,

NAND 공급을 위한 장비 개발이 완료된 것으로 파악되어 향후 공급 여부에 주목할 필요가

있다는 판단이다.

그림 32. CMP장비 시장 규모 추이 및 전망 그림 33. 국내에선 케이씨텍이 CMP장비 공급중

0

200

400

600

800

1,000

1,200

1,400

06 07 08 09 10 11 12 13 14 15 16F 17F 18F

(백만달러)

Applied

Materials

70%

Ebara

26%

KC Tech

3%

Tokyo

Seimitsu

1%

자료: Gartner, 하나금융투자 자료: Gartner, 하나금융투자

박리 장비는 피에스케이가 28% 점유 박리 장비의 시장 규모는 2016년 2억 4천만 달러로 전공정 장비 내에서 1%의 비중에 불과

하다. 박리 공정은 식각 공정 후에 PR(Photo Resist, 감광액)을 제거, 분리 시켜주는 공정

이다. 2018년에도 현재 수준의 규모가 유지될 것으로 전망되어 향후 성장성에 대한 그림이

밝지는 않다. Lam Research가 점유율 30%로 1위이며, 국내 업체인 피에스케이가 28%의

점유율로 2위다. 박리 장비에 대한 수요가 증가하지는 않지만, 4위 업체인 Mattson이 최근

재무 악화로 인해 정상적인 영업이 제한적인 상태이다. 피에스케이에게는 점유율을 확대할

수 있는 기회가 될 것으로 기대된다.

그림 34. 박리장비 시장 규모 추이 및 전망 그림 35. 피에스케이가 두각

0

100

200

300

400

500

600

06 07 08 09 10 11 12 13 14 15 16F 17F 18F

(백만달러)

Lam

Research

30%

PSK

28%

Mattson

Technology

11%

Others

12%

Hitachi High

-Technologies

19%

자료: Gartner, 하나금융투자 자료: Gartner, 하나금융투자

Page 20: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

20

3. 반도체 소재: 액체 및 가스의 용도와 현황

1) 국내 반도체 공정용 액체 및 가스 업체에 주목

국내 반도체 액체 및 가스 업체 선호 반도체 소재는 장비에 비해서 광범위한 영역이다. 반도체 웨이퍼부터 시작해서 각 공정에 필

요한 각종 액체 및 가스, 패키징에 필요한 리드프레임까지 종류가 너무 다양하다. 본 보고서

에서는 반도체 소재 중에서 공정 내에 사용되는 액체와 가스를 다루려고 한다. 국내 상장 업

체가 취급중인 소재, 재료를 중심으로 사용 용도와 현황 및 글로벌 동종업체에 대해서 언급

하고자 한다.

포토레지스트는 동진쎄미켐, 식각액은 솔브레인, 이엔에프테크놀로지, 식각 가스는 SK머티

리얼즈, 원익머트리얼즈, 증착 가스는 SK머티리얼즈, 원익머트리얼즈, 후성, 공정 특수가스

는 한솔케미칼이 담당한다. 포토레지스트와 식각액을 제외하고 가스 형태로 공급중이며, 반

도체용 특수가스에 대한 정리는 다음 표와 같다.

표 5. 반도체용 특수가스의 종류와 사용 용도

가스 종류 상태 사용 용도

기호 이름 고압가스 기타 산화 확산 이온 주입 CVD 식각 증착 스퍼터

Ar 아르곤 O O O O

O2 산소 O O O O O

H2 수소 O O O

Ns 질소 O O O

CO2 이산화탄소 O O O O

He 헬륨 O O

N2O 아산화질소 O O O

ASH3 아르신 O O O

NH3 암모니아 O O

NO 일산화질소 O O O

C2H6 에탄 O O

HCl 염화수소 O O O O O

Cl2 염소 O O O

POCl2 옥시화 인 O

GeH4 게르만 O O O

PF5 오불화 인 O O

BCl3 삼불화붕소 O O

PF3 삼불화인 O O

CCl4 사염화탄소 O O

SiCl4 사염화규소 O O O O

Si2H6 디실란 O

SiH2Cl2 디클로로실란 O O

B2H6 디보란 O O O

SiH4 모노실란 O O

SbH3 스티빈 O O

H2SE 셀렌화수소 O O

H2Te 텔루르화수소 O O

N(CH3)3 트리메틸아민 O O

SiHCl3 트리크로실란 O

NO2 이산화질소 O O

CHF3 삼불화메탄 O O

C3H8 프로판 O O

C3F8 8불화프로판 O O

PH3 포스핀 O O O O

H2S 염화수소 O O

SF6 유불화황 O O

C2F6 육불화에탄 O

WF6 육불화텅스텐 O

NF3 삼불화질소 O O

프론류 O O

자료: 하나금융투자

Page 21: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

21

2) 반도체 소재 기술 및 업체 정리

감광액(Photoresist): 동진쎄미켐

글로벌 동종업체:

Sumitomo Chemical (동우화인켐)

Merck

감광액 시장환경은 기본적으로 고집적, 미세화, 3차원에 의해 성장중에 있다. 반도체 미세화

공정이 진행될수록 광원의 파장도 짧아져야 하며, 이에 대응할 수 있게 감광액도 고도화되어

야 한다. 최근에는 ArF 액침 노광이라 불리는 기술이 사용되는데, 이는 기존에 광원의 파장

이 짧아지는 것과는 상이한 기술이다. 투영렌즈와 웨이퍼 간의 공간을 공기보다 굴절률이 높

은 물(순수)로 채워 대구경 광학계을 가능하게 해서 미세화를 달성하는 기술이다. 즉, 파장

의 조절이 아니라 투영렌즈의 변화를 통해 미세화하는 기술이다. 그에 따라 감광액 업체들도

액침ArF를 중심으로 공급중에 있다. 액침 ArF의 판매수량은 2015년을 100으로 봤을 때

2018년에는 2배 규모로 성장할 것으로 전망된다. 국내 업체 중에는 동진쎄미켐이 감광액을

공급중에 있으며, Sumitomo Chemical의 100% 자회사인 동우화인켐은 비상장 회사이다.

표 6. 건식 노광과 액침 노광의 비교

건식 노광 장치 액침 노광 장치

Wafer

Lens空氣공기

Wafer

Lens

純水순수

렌즈, 웨이파 사이에는 공기 (n=1.00) 렌즈, 웨이퍼 사이에는 순수 (n=1.44)

최대 NA는 0.93 최대 NA는 1.35

액침 전용 설계이기 때문에 건식 노광은 불가능

자료: JSR, 하나금융투자

그림 36. 2015년 기준 동진쎄미켐과 동우화인켐 매출액 비교 그림 37. 액침 ArF 시장은 2018년에 2015년대비 2배 성장 전망

0

20

40

60

80

100

120

14 15

동진쎄미켐 동우화인켐(십억원)

0

50

100

150

200

250

15 16F 17F 18F

액침 ArF(지수)

주: 동진쎄미켐은 반도체 재료 매출액, 동우화인켐은 스미토모 화학의 매출비중 동일 가정

자료: 각사, 하나금융투자

자료: Sumitomo Chemical, 하나금융투자

Page 22: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

22

식각액: 후성과 이엔에프테크

글로벌 동종업체:

Mitsubishi Chemical

Sumitomo Chemical

Hitachi Chemical

식각액은 습식 식각 공정에 사용되는 액체이다. 식각의 대상은 반도체 그 자체이거나 실리콘

산화막 등의 절연막, 금속등의 전극, 배선으로 다양하다. 따라서 각 대상물에 해당하는 식각

액을 사용해야 한다. 습식 식각은 한번에 여러장의 웨이퍼 처리가 가능하는 점에서 장점을

갖고 있고, 플라즈마를 사용하지 않기 때문에 충격도 덜 하다. 다만, 정밀도가 높은 미세 가

공이 어려운 단점을 갖고 있다. 습식과 건식은 적절하게 사용용도에 맞춰 중용되고 있어 습

식 식각이라고 이제 사용하지 않는 것이 아니다. 국내 업체인 솔브레인과 이엔에프 테크놀로

지는 반도체의 열 산화막 식각 및 세정에 사용되는 HF(Hydrogen Fluoride: 불화수소)와

BOE(Buffered Oxide Etchant)를 공급중이다. 최근에는 3D NAND 기술이 확대되면서 질

화막만 선택적으로 식각하게 되는 HSN(High Selectivity Nitride)을 공급하며 향후 성장성

이 밝을 것으로 전망된다.

그림 38. 습식 식각에 의한 공정 개념도 그림 39. 솔브레인과 이엔에프테크놀로지의 식각액 매출액 추이

막 막

반도체

반도체

반도체

용액 용액

마스크마스크

마스크

0

50

100

150

200

250

300

14 15

솔브레인 이엔에프테크놀로지(십억원)

자료: 하나금융투자 자료: 각사, 하나금융투자

Page 23: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

23

특수가스:

원익머트리얼즈,

SK머티리얼즈,

후성

글로벌 동종업체:

Air Product

Sumitomo Seika Chemical

Kanto Denka

Showa Denko

Taiyo Nippon Sanso

Linde

NF3는 식각 및 증착 공정 후에 Chamber 내에 있는 잔류물을 제거하는 특수가스다. 국내

에서는 SK머티리얼즈가 NF3 글로벌 1위를 차지하고 있다. 2016년 글로벌 생산능력은

20,275톤으로 파악되며, 글로벌 수요는 18,000톤을 상회할 것으로 추정된다. 일반적으로

생산능력이 수요대비 10% 상회하고 있어야 밸런스가 유지되기 때문에 공급과잉 상태는 아

니라고 판단된다. 2017년 증설 분 2천톤이 예정되어 있지만, 3D NAND와 OLED 투자도

있어 수급상황은 당분간 현상태가 지속될 가능성이 높다는 판단이다. NF3 외에도 식각에 사

용되는 특수가스는 다양한데 원익머트리얼즈는 F2를 공급중에 있어 3D NAND의 수혜가 가

능할 것으로 기대된다.

증착 가스로 사용되는 것은 GeH4, Si2H6, NH3 등은 원익머트리얼즈의 주력 제품이며, 3D

NAND에서 수요가 증가하는 WF6는 후성과 SK머티리얼즈가 공급중이다. 앞서 언급했듯이

증착은 3D NAND의 주요 수혜 공정이며, 그에 따라 WF6도 기존대비 2배 가까운 수요 증

가가 예상되고 있다. 그에 따라 WF6 공급업체들이 최근에 증설을 진행중인데 일본업체인

Kanto Denka Kogyo는 100%, 후성은 133% 생산능력이 증가할 예정이다. 증설 이후의 수

급 상황에 대해 예의주시할 필요가 있지만, 현재 수급밸런스가 NF3보다 타이트한 것으로

파악되어 공급과잉에 대한 가능성은 낮을 것으로 전망한다.

그림 40. NF3 CAPA 현황

0

1,000

2,000

3,000

4,000

5,000

6,000

7,000

8,000

SK Materials KDK Air Products Mitsui Central Glass Linde Others

(Hyosung,

LDK, etc.)

2014 2015 2016F(톤)

자료: 각사, 하나금융투자

그림 41. WF6 CAPA 현황

0

100

200

300

400

500

600

700

KDK Air Products Foosung SK Materials Others

2014 2015 2016F(톤)

자료: 각사, 하나금융투자

Page 24: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 Analyst 김록호02-3771-7523

24

CMP 슬러리: 케이씨텍, 솔브레인

글로벌 동종업체:

Hitachi Chemical

Air Product

Ebara

CMP슬러리는 화학적 연마 공정에 필요한 액체이다. CMP슬러리의 시장규모는 CMP장비를

상회하는 수준으로 파악된다. 반도체 장비가 2016년 기준 11억 달러로 추정되고 있고,

CMP슬러리 시장은 13억 달러 내외로 전망중이다. 과거에는 장비 시장의 규모가 더 컸지만,

소모품인 슬러리 시장의 규모가 장비를 추월한 것으로 파악된다. 글로벌 업체인 Cabot이 점

유율 40% 내외로 1위를 차지하고 있고, Hitachi Chemical은 20%의 점유율을 확보하고 있

는 것으로 파악된다.

CMP슬러리의 경우에도 연마 대상 막질 및 공정에 따라 종류가 다양한데, DRAM과 NAND

같은 메모리에 사용되는 구리가 43%로 가장 높다. 이어서 층간절연막(ILD: Inter Layer

Dielectric) 평탄화에 쓰이는 세리아가 23%, 텅스텐이 17%, 얇은 절연막에 상처를 내지 않

기 위해 STI(Shallow Trench Isolation)에 쓰이는 실리카가 15%로 뒤를 잇는다. 국내 업체

인 케이씨텍과 솔브레인은 ILD에 사용되는 세리아 계열 슬러리를 공급중에 있는 것으로 파

악된다. 3D NAND에서는 메탈계열인 구리와 텅스텐의 사용량이 증가할 것으로 전망되어 이

를 위한 공급 준비를 진행중인 것으로 파악된다. 향후 주목할 필요가 있다는 판단이다.

그림 42. 2016년 글로벌 CMP 슬러리 시장 규모는 13억 달러에 달함 그림 43. CMP 슬러리의 공정별 점유율

0.0

2.0

4.0

6.0

8.0

10.0

12.0

14.0

16.0

2010 2011 2012 2013 2014 2015 2016F 2017F 2018F

CMP슬러리(백만USD)

구리

벌크/배리어

43%

ILD

23%

텅스텐

17%

STI

15%

폴리실리콘

2%

자료: GNC, 하나금융투자 자료: GNC, 하나금융투자

Page 25: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 Analyst 김록호02-3771-7523

25

과산화수소: 한솔케미칼

글로벌 동종업체:

Mitsubishi Gas Chemical

과산화수소는 반도체 공정에 필요한 특수가스다. 식각, 증착 등의 메인 공정의 전후에는 웨

이퍼를 세정해야 하는데 그 세정액으로 과산화수소가 사용되고 있다. 국내에서는 한솔케미

칼과 OCI 등의 업체가 과산화수소를 생산중에 있는데, 세정액으로 사용되는 과산화수소의

원재료를 공급하는 개념으로 이해하면 되겠다. 한솔케미칼이 생산하고 1차 정제한 과산화수

소를 삼영순화(일본 미쓰비시 가스 화학과 국내 합병회사)에 공급하면 2차 정제를 마쳐서 고

객사에 공급하는 형태이다. 과산화수소의 정제 정도에 따라서 사용 용도가 달라지는 것으로

파악되며, 반도체 및 디스플레이용으로 한솔케미칼과 OCI는 각각 삼영순화와 동우화인켐을

통해 고객사에 공급중에 있다. 세정액은 반도체 주요 공정에 직접 사용되지는 않지만, 공정

의 횟수 증가에 따라 세정 공정도 동반 상승하고 그에 따라 수요가 증가할 것으로 기대된다.

2016년 현재 국내 공급업체들의 생산 능력 합산은 연간 33만 5천톤인 것으로 파악된다.

2015년 수요가 30만톤에 달했고, 2016년에는 소폭 증가한 30만 2천톤으로 전망된다. 다만

삼삼성전자의 반도체 17라인 증설과 삼성디스플레이의 OLED 라인 증설을 감안하면 2016

년 수요는 현재 전망치를 상회할 가능성이 높다.

그림 44. 반도체용 세정액 공급라인 그림 45. 국내 현재 CAPA 현황

생산1차정제 2차정제

0

20

40

60

80

100

120

한솔케미칼 OCI SKC에보닉 태광산업

(천톤)

자료: 한솔케미칼, 하나금융투자 자료: 각사, 하나금융투자

표 7. 국내 과산화수소 수요 전망 (단위: 천톤)

구분 2015 2016F 2017F

내수 240 242 358

반도체 38 41 51

LCD 34 34 36

PO 99 100 210

기타 69 67 61

수출 60 60 60

합계 300 302 418

자료: 각사, 하나금융투자

Page 26: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

26

Appendix. 반도체 공정 A~Z

1) 반도체 공정의 흐름

반도체 공정(fabrication)은 웨이퍼에 패턴을 형성하기 위한 산화, 노광, 식각, 이온주입, 박

리/세정, 증착, 연마, Gate 형성의 전공정과, 이후에 칩별로 잘라서 패키징하고 테스트하는

후공정으로 구분된다.

그림 46. 반도체 전공정과 후공정

Grinding

산화 PR도포

노광

현상식각

이온주입

박리 증착

연마

ProbeTest

WaferSaw

DieAttach

WireBonding

MoldingMasking

Gate

자료: 하나금융투자

Page 27: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

27

전공정은 보통 산화, 노광, 식각, 이온주입, 박리/세정, 증착, 연마의 순서로 이야기 한다.

하지만 반도체는 각 공정을 1회씩 걸쳐서 완료되는 것이 아니라 앞서 언급한 공정들이 선택

적으로 반복되서 진행된다. 예를 들어, 소자를 구성하는 공정에는 식각 공정 후 이온주입을

통해 전기적 특성을 띄는 소자를 형성하지만, 금속을 통해 전기적인 배선을 형성하는 경우는

이온주입공정을 거칠 필요가 없으므로, 식각 후 다시 증착 공정을 거치게 된다.

그림 47. 목적에 따른 공정 순서의 차이

산화

포토

식각

이온주입증착

식각

증착(배선용 금속)

연마

증착

연마

게이트 형성

금속 배선

소자 형성

Gate

자료: 하나금융투자

Page 28: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

28

2) 웨이퍼의 제조

그림 48. 웨이퍼의 제조

자료: 하나금융투자

반도체는 조건에 따라 전기전도도를 변화시킬수 있는 규소(Si), 게르마늄(Ge)등의 물질을 이

용한다. 현재는 경제적인 이유에서 모래에서 추출 가능한 규소(Si)만을 사용하고 있다. 규소

를 반도체 제조를 위한 원판형태로 형성한 것이 실리콘 웨이퍼이다. 웨이퍼 제작은 실리콘을

녹여 액체상태로 만들고, 이를 실리콘 기둥(ingot)으로 성장시켜 절단하는 방식으로 제조한

다. 기둥을 성장시키는 과정에서 실리콘의 정제도 동시에 진행된다.

실리콘 기둥을 성장시키는 방법에는 초크랄스키법(Czochralski, CZ)과 플로팅 존법

(Floating Zone, FZ)을 주로 사용한다. 초크랄스키법은 도가니를 이용해 실리콘을 용해한

뒤, 용액안에 실리콘 막대를 회전시켜 서서히 끌어올려 가는 방법이다. 큰 크기의 웨이퍼를

만드는데 용이하나 도가니안의 산소 혼입으로 오염이 쉽다는 단점이 있다. 플로팅 존법은 실

리콘 주위를 부분적으로 용해하며 웨이퍼를 제조하는 방법으로 높은 전도성과 고순도의 결

정을 만들수 있으나 고비용이라는 단점이 있다.

성장시킨 실리콘 기둥을 균일한 두께로 절단하여 웨이퍼를 제작한다. 절단 직후 웨이퍼의 표

면은 흠결이 있고 거칠기 때문에, 이를 열처리하고 연마하는 작업이 필요하다.

그림 49. 실리콘 웨이퍼 제조과정

폴리실리콘 단결정 성장 Lapping그라인딩 슬라이싱 Beveling

에 칭 열처리 포 장Polishing 세 정 검 사

→ → → → →

→ → → → →→

자료: 하나금융투자

Page 29: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

29

3) 산화(성막)

그림 50. 산화막 생성

자료: 하나금융투자

산화는 전기로 안에서 실리콘 웨이퍼에 산소와 수증기를 투입하여, 실리콘 표면에 산화막

(SiO2)를 형성하는 공정이다. 막을 형성하기 때문에 성막공정이라고도 한다. 산화막은 반도

체에서 1) 불순물이 선택적으로 주입될 수 있도록 하거나, 2) 반도체의 절연물질, 3) 커패시

터의 유전물질 및 층간 절연물질, 4) MOSFET 구조의 Gate 산화막, 5) 외부 환경으로부터

의 기판을 보호하는 역할을 담당한다. 산화과정은 습식산화와 건식산화로 구분한다.

건식산화는 건조한 산소를 사용해 산화막을 형성하는 방법이다. 사용되는 물질로 산소(O2)

와 이를 운반하는 캐리어 역할을 수행하는 질소(N2), 실리콘에 존재할 수 있는 오염원인 나

트륨(Na) 이온을 제거하기 위한 염산(HCl)이 사용된다. 건식 산화는 막질이 우수하고 산화

막의 두께 제어가 용이하다. 다만, 습식 산화에 비해서 느린 속도가 단점이다. 건식 산화막

은 MOSFET(Metal Oxide Semiconductor Field Effect Transistor, 전계효과트랜지스터)

에 Gate 산화막 형성시 주로 사용된다.

습식산화는 고온의 전기로에서 수소와 산소의 불꽃반응을 이용하여 수증기를 공급하는 방식

이다. 산화막의 성장속도가 빠르나 그만큼 산화막질이 우수하지 못하고 두께 제어가 쉽지 않

다. 사용되는 물질로는 염산(HCl), 질소(N2), 산소O2) 외에 수소(H2)가 있다. 주로 웨이퍼

필드(표면)의 산화시 사용하는 방식이다.

그림 51. 건식 산화 장비 구조 그림 52. 습식 산화 장비 구조

N2HCIOr TCA

O2Furnace

Exhaust

Quartz Oxidation Boat and Silicon Wafers

H2

O2 ¶N2

N2HCIOr TCA

O2 H2

Pyrogenic Torch

H2O (steam)

Furnace

자료: 하나금융투자 자료: 하나금융투자

Page 30: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

30

4) 포토 공정

그림 53. PR도포, 노광, 현상

자료: 하나금융투자

포토 공정은 웨이퍼 위에 반도체 회로를 그려 넣는 공정이다. 포토 공정은 필름을 인화지에

인화하는 방법과 동일하다. 웨이퍼 위에 빛에 반응하는 감광제(Photo Resist)를 도포한 뒤,

노광기를 이용해 마스크(회로가 그려진 기판)를 통해 빛을 전사한다. 그 후 감광제에 열을

가하여(Bake 과정) 현상하고, 식각 공정을 거친 뒤 남은 포토레지스트를 제거(박리)해 회로

를 웨이퍼 위에 설계하는 것이다. 포토 공정은 수나노의 회로를 빛을 이용해 웨이퍼 위에 전

사 하기 때문에 공정시 청정도에 따라 칩의 수율에 큰 영향을 미친다.

감광제는 빛 에너지에 의해 분해되는 화학 물질을 용매에 용해시킨 혼합물이다. 감광제는 현

상시 노광되는 부분이 제거되는 양성감광제와 노광되지 않은 부분이 제거되는 음성감광제로

구분된다. 음성감광액은 낮은 해상도로 인해 반도체 공정에는 잘 사용되지 않으나, 소자의

크기가 큰 디스플레이 공정에서 주로 활용된다.

마스크는 쿼츠(석영)기판에 크롬(Cr)으로 설계한 패턴으로 구성된다. 크롬층이 있는 부분은

빛을 통과시키지 않아 설계한 패턴을 웨이퍼에 전사하는 반도체 부품이다.

빛을 비추는 노광기(Stepper)는 광원에 따라 구분되는데, 광원에 따라 구현할수 있는 최소

선폭이 결정된다. 나노미터급인 빛의 단파장 길이는 G선, I선, 불화크립톤(KrF), 불화아르곤

(ArF), F2 레이저, Ar2 레이저, 극자외선(EUV) 순으로 짧은 파장을 가지고 있다. 미세공정

전환이 진행될수록 파장이 짧은 광원을 사용해야 한다. 10나노공정 이하에선 노광과정을 여

러번 반복하여 패턴을 형성하는 멀티패터닝 방식이 사용되고 있다.

그림 54. 포토 공정 구조 그림 55. 광원에 따른 파장

Mask

PR

SiliconDioxide

Wafer

Light

광 학

G선 - 436나노미터(nm)

I선 - 365나노미터

KrF선 - 248나노미터

ArF선 - 193나노미터

F2선 - 157나노미터

Ar2선 - 126나노미터

EUV선 - 13.5나노미터

자료: 하나금융투자 자료: 하나금융투자

Page 31: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

31

5) 식각 공정

그림 56. 식각

자료: 하나금융투자

식각 공정은 포토 공정을 통해 형성된 패턴에 따라, 필요한 부분을 얇게 만들거나 제거하는

과정이다. 식각 공정을 거쳐야만 비로소 사진 공정에서 그려진 회로가 웨이퍼에 새겨진다.

사진공정과 관계없이 불필요한 박막을 제거하는 것 또한 식각 공정에 포함된다. 식각 공정은

화학약품을 사용하는 습식식각과 가스를 사용하는 건식식각으로 구분된다.

습식식각은 제거하고자 하는 물질을 식각할 수 있는 화학용액(식각액, etchant)을 수조(Wet

bath)안에서 웨이퍼와 반응시키는 방식이다. 용액안에서 식각이 진행되므로, 수평과 수직방

향으로 모두 식각이 이루어지는 등방성 식각이 진행된다. 이러한 성질 때문에, 포토 공정으

로 형성한 패턴보다 크게 식각이 되는 현상이 발생하여, 공정전환으로 최소선폭이 미세화될

수록 적용하기 어렵다. 그러나 습식 식각은 목표로 하는 특정 물질만 식각하는 선택성에서

탁월하다. 우수한 선택성 때문에 습식식각은 패턴 형성과 무관하게 불필요한 박막을 제거하

는데 용이하다.

건식 식각은 식각하고자 하는 대상 물질과 반응하는 가스를 이용하여 식각하는 방식이다. 가

스의 반응을 일으키기 위해 전기적인 에너지를 가하여 플라즈마 상태로 전환한 후, 이때 생

성되는 이온을 이용해서 식각 반응을 일으킨다. 이온의 직진성 때문에, 수직으로만 식각이

진행되는 이방성 식각이 이루어진다. 이에 따라 사진공정에서 형성된 패턴의 크기와 동일하

게 식각이 이루어져, 미세한 소자를 제조하는 반도체 식각 공정에서 다루기 용이하다. 다만,

이온의 물리적인 운동을 통해 식각이 진행되어 대상 물질외에도 식각이 이루어져 습식 식각

에 비해 선택성은 떨어진다.

표 8. 박막 종류에 따른 주요 식각액/식각용 가스

식각방식 박막 종류 식각액/식각용 가스 주요 소재

습식식각 산화막(SiO2) HF(불산), NH4F(불화암모늄), CH3COOH(아세트산)

질화막(Si3N4) H3PO4(인산)

폴리실리콘 HF(불산), CH2H3O2(초산), HNO3(질산)

알류미늄(Al) H3PO4(인산), HNO3(질산), HC2H3O2(초산)

건식식각 실리콘/폴리실리콘 Cl2, CF2, CF4, SF6, HBr(브로민화수소)

산화막(SiO2), 질화막(Si3N4) CHF3(플루오르폼), CF4, Ar(아르곤), H2

자료: 하나금융투자

그림 57. 식각 공정 모식도 그림 58. 식각의 등방성, 이방성

PR(Photo Resist) PR(Photo Resist)

증착층 증착층

식각

Etch Etch

습식 - 등방성 건식 - 등방성

자료: 하나금융투자 자료: 하나금융투자

Page 32: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

32

6) 박리/세정

그림 59. 박리/세정

자료: 하나금융투자

박리 공정은 식각공정 후 남은 감광액(Photo Resist)를 제거하는 작업이다. Strip 공정이라

고도 불린다. 식각과 동일하게, 박리 장비 또한 습식 박리와 건식 박리로 구분된다. 습식박

리는 감광액을 분해하는 박리액을 사용하여 화학적 반응을 통해 제거하는 방식이다. 습식박

리의 박리액은 주로 H2SO4(황산), H2O2(과산화수소) 등을 사용한다. 건식식각이 이루어

진 경우, 감광액이 플라즈마 가스 및 이온과의 접촉, 충돌로 변질되어 쉽게 제거되지 않는

경우가 발생한다. 이런 경우엔 산소 플라즈마를 사용하는 건식박리를 이용한다. 산소를 사용

한 공정이기 때문에 웨이퍼 및 금속의 산화가 일어날 우려가 있다. 습식박리와 건식박리를

병행하여 처리하기도 한다.

세정은 반도체 웨이퍼 위의 먼지, 사람의 침, 표피, 공정 후 남은 화학 물질등의 오염원을

제거하는 공정이다. 한 단계 공정이 끝날 때마다 세정작업을 거치게 된다. 현재 반도체 세정

기술은 70년대 RCA사에 의해 개발된 기술을 기본으로 하고 있다. RCA 세정 방법은

H2O2(과산화수소)를 기본으로, NH4OH를 같이 사용하는 SC1(Standard Cleaning 1)과

HCl를 사용하는 하는 SC2(Standard Cleaning 2)의 두단계로 이루어진 습식 세정 방법이

다. 습식 세정 방법은 필연적으로 세정 후 다음 공정으로 이동시 오염물질에 다시 노출 될

가능성을 가지고 있다. 이를 해결하기 위해 HF, O3, Cl2. AR등의 플라즈마 가스를 사용하

는 건식 세정 방식을 사용하기도 한다. 건식 세정 방식은 진공상태에서 이루어져, 대부분의

공정과 같은 시스템 내에서 진행이 가능하다.

그림 60. 오염원에 따른 공정에서의 문제 발생

People땀에 의한 Na+오염피부 박리/화장품 등에 의한 유기 오염움직임/대화 등에 의한 ParticlesElectroistatc charges

MachineDusts from equipments(Particles)Plasma등에 의한 Energy source로 부터의 오염공정 부산물VibrationMagnetic

MaterialDeionized (DI) waterChemicalsGasCleanroom environment etc.

WaferParticle, Organic,

Ions,Native oxide etc.

▧ Decrease yield

▧ Masking

▧ Degrade device peformancd

▧ Short-out conductor line

▧ Lower oxide vreakdown voltage

▧ Decrease minority carrier lifetime

자료: 하나금융투자

Page 33: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

33

7) 확산(이온주입)

그림 61. 이온주입

자료: 하나금융투자

반도체의 주원료인 실리콘(Si)의 전기적 특성을 인위적으로 변화시키기 위해선 Si이 아닌 다

른 불순물(Dopant)을 주입하여야 한다. 주로 주기율표상 3족 원소와 5족 원소를 사용한다.

3족원소를 주입할 경우 실리콘과 결합한 뒤 하나의 전자가 남게되고, 이러한 잉여전자의 흐

름으로 전도성을 띄는 N(Negative)형 반도체가 만들어 진다. 3족 원소를 실리콘에 결합하면

실리콘과 결합시 하나의 전자가 부족하여, 부족한 공간을 채우려는 전자의 흐름으로 전도성

을 띄는 P(Positive)형 반도체가 만들어 진다. 보편적으로 P형 반도체를 생성시엔 붕소(B),

N형 반도체를 생성시엔 인(P)을 불순물로 사용한다. 불순물을 웨이퍼에 주입하는 방법은 주

로 확산법과 이온주입법을 사용한다.

확산법은 입자가 농도가 높은쪽에서 낮은쪽으로 퍼져나가는 현상을 이용한 방법이다. 고온

의 챔버 내에서 불순물과 웨이퍼를 가열하면, 웨이퍼의 표면에서부터 내부로 불순물이 침투

한다. 불순물의 종류는 가스, 액체, 고체 상태 모두 사용될 수 있으며, 불순물을 운반하기위

해 N2(질소), Ar(아르곤)과 같이 화학반응을 일으키지 않은 비활성기체와 혼합되어 사용된

다. 확산법을 이용한 불순물은 웨이퍼 내에 균일하게 주입되는 특성을 가지고 있다.

이온 주입(ion implantation)은 불순물을 이온화시켜 고전압을 이동하여 이온을 가속, 이온

빔의 형태로 웨이퍼에 주입하는 방법이다. 이온을 가속시키는 전압을 조절하여 속도를 결정

할 수 있으며, 이에 따라 불순물이 웨이퍼에 주입되는 깊이를 조정할 수 있다. 또한 웨이퍼

의 영역별로 서로 다른 불순물을 주입할 수 있어 MOSFET등의 제조공정에서 소스 및 드레

인 형성, Well 형성 등에 용이하다. 다만 이온을 주입하는 과정에서 웨이퍼 표면에 의도치

않은 손상을 발생시키기 때문에, 가열을 통해 손상을 정비하는 어닐링(Anneling) 공정이 필

요하다. 특히, 불필요한 불순물의 확산을 막기 위해 웨이퍼 표면만 빠르게 가열하는 방식을

RTP(Rapid Thermal Process) 혹은 RTA(Rapid Thermal Anneling)라고 한다.

그림 62. 확산공정 모식도 그림 63. 이온주입후 Anneling 처리

Dopant전치증착

후확산

Si Si

Si Si

1300˚C

1000~1250˚C

이온 주입후 결함 발생

Anneling 을 통한 activation

이온 주입후 결함 발생

Anneling을 통한 activation

자료: 하나금융투자 자료: 하나금융투자

Page 34: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

34

8) 증착 공정(성막)

그림 64. 식각

자료: 하나금융투자

증착이란 반도체 웨이퍼위에 원하는 물질을 얇은 막(박막, Thin film)형태로 형성하는 공정

이다. 크게 화학적 반응이 관여하지 않고 재료를 물리적으로 기화시켜 증착하는 물리기상증

착(PVD)과, 형성하고자 하는 박막 재료를 수성하는 원소로 된 가스를 공급해 표면에서의 화

학반응을 통해 증착하는 화학기상증착(CVD)이 있다.

물리기상증착(PVD) 방식은 원하는 물질을 기화시키는 방법에 따라 열증발법, 전자빔 증발법

과 플라즈마를 통해 높은 에너지의 입자를 증착 물질에 조사하는 스퍼터링(Sputtering)방법

등이 있다. 기체상태의 물질이 웨이퍼에 도달해 증착하게 되므로, 박막의 순도를 위해 진공

상태에서 공정이 이루어져야 한다.

화학기상증착(CVD) 방식은 원료 가스의 양을 제어하여 막 두께 제어 및 증착돤 박막의 성분

을 조절하는데 용이하다. 장비가 요구하는 압력에 따라 APCVD(Atmospheric Pressure

CVD)와 LPCVD(Low Pressure CVD)가 있다. 압력이 낮을수록 가스의 수송속도가 높아져

균일한 막 두께를 얻을 수가 있지만, 진공에 가까운 상태를 유지하는데 비용이 발생한다.

APCVD, LPCVD는 화학반응을 일으키는 에너지원으로 열을 사용하는 열 증착법이며, 에너

지원으로 열 대신 플라즈마를 이용하는 PECVD(Plasma Enhanced) 방식도 사용한다.

ALD는 화학반응 중 화학흡착에 의해서 막을 형성시키는 방식이다. 화학흡착에 의한 박막

형성은 원자단위의 아주 얇은 막을 형성할 수 있어 복잡한 구조물에 대해서도 100% 형태를

유지하는 장점을 가지고 있다.

표 9. 증착 방식별 특성8

구분 ALD CVD PVD

증착방법 원자층 증착 화학기상증착 물리적 증착

막 증착 두께 20나노 이하 20나노 이상 20나노 이상

균일도 조절 0.1나노 1나노 5나노

막 두께 조절 매우 우수 우수 보통

단차피복성 매우 우수 우수 나쁨

막 특성 매우 우수 우수 제한적

청정도 파티클 없음 파티클 발생 파티클 발생

기압 제한 0.001torr 0.001torr 1x10^-7torr

생산성 나쁨 보통 우수

자료: 하나금융투자

Page 35: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

35

그림 65. CVD Mechanism 그림 66. ALD Mechanism

Mass flow

Boundarylayer

반응가스1

반응가스2

증 착박막

반응부산물

자료: 하나금융투자 자료: 하나금융투자

화학기상증착(CVD) 방식에는 화학 반응에 필요한 가스 소재가 필요하다. CVD 공정에 사용

되는 가스는 증착하고자 하는 물질을 가지고 있는 전구체(Precursor)와, 반응 후 발생되는

부산물을 챔버내에서 제거하는 세정용 가스로 구분된다.

전구체(Precursor)는 반도체 박막의 성분을 포함하고 있는 화학 가스이다. 용도에 따라

Capacitor용 높은 정전용랑을 갖는는 High-K, 낮은 유전율을 층간 절연물질용 Low-K,

금속배선용 메탈전구체 등 형성하고자 하는 박막의 목적에 따라 수십가지 종류로 구분된다.

많이 사용되는 증착용 가스로는 금속배선을 위한 텅스텐 증착용 육불화텅스텐(WF6), 폴리

실리콘 형성용 모노실란(SiH4), 질산막 형성용 암모니아(NH3) 등이 있다.

세정용 가스는 CVD공정에서 화학반응이 일어난 후, 챔버 내에 남아있는 화학반응의 부산물

을 제거하는 역할을 한다. 삼불화질소(NF3)가 대표적이며, 그외에 불소계 가스인 사불화탄

소(CF4), 육플루오린화황(SF6) 등이 있다.

표 10. 박막 용도에 따른 대표 전구체

용도 박막 종류 전구체

Gate Dielectric High-k (HfO2, ZrO2, etc) TEMAHf, TEMAZr,

Electrode Metal salicide (CoSiO2, NiSi) CCTBA, Ni(EtCp)2

Cell Plug / Bit Line Metal (W, Cu) WF6, BTBBDMT, MABOC

Capacitor Dielectric High-k (HfO2, ZrO2, Hf(zr)SiOX, BST, etc)

TEMAHf, TEMAZr, Ba(tmhd)2, Sr(tmhd)2, HSi(EMA)3, HSi(NMe2)3,

Ti(O(CH2)2OCH3)(tmhd)2

Electrode Metal Electrode (TiN, Ru, Pt, etc) TEMATi, Ru(EtCp)2, Me3Pt(EtCp)

Metal line metal Metal Line (Al, Cu) MPA

barrier TaN, TiN TBTEMAT, TBTDET, TEMAT

IMD Low-k (SiOC)

자료: 하나금융투자

Page 36: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

36

9) 연마/CMP(Chemical Mechanical Polishing)

그림 67. 연마/CMP

자료: 하나금융투자

CMP 공정은 슬러리를 이용하여 산화 및 증착이후의 웨이퍼 표면을 화학적/물리적으로 갈아

서 평탄화 시키는 과정이다. 슬러리는 미세 고체입자가 포함된 현탁액을 말한다. 슬러리가

웨이퍼 표면을 더 부드럽게 만들고 pad를 이용하여 기계적으로 표면을 갈아낸다. CMP 슬

러리는 연마하고자 하는 막질의 종류에 따라 나뉘며, 크게는 반도체 산화막(Oxide)용 슬러

리와 메탈 슬러리로 구분할수 있다.

산화막용 CMP는 슬러리는 주로 실리카(SiO2), 세리아(CeO2)가 주요 사용된다. 전통적으로

유리 렌즈 가공에 많이 사용되던 세리아 슬러리는 실리카에 비해 입자 크기가 커 연마 속도

가 3배이상 높아 양산성 측면에서 유리하다. 다만 웨이퍼 표면에 상처를 입히기 쉽개 때문

에 과거에는 잘 사용되지 않았다. 미세공정전환에 따라 질화막에 대한 연마가 중요해지면서,

질화막 선택비가 높은 세리아 슬러리가 주목받고 있다. 현재는 주로 STI(Shallow Trench

Isolation, 회로 내에서 소자 사이를 구분하는 절연체) 형성에 주로 사용된다. 국내 케이씨텍

이 세리아 슬러리를 주로 공급하고 있다.

메탈 슬러리는 희석된 산화제(Oxidizer, Fe(CN)6, H2O2)에 알루미나(Al2O3) 입자가 주

로 사용된다. 주로 소자 Contact용 텅스텐(W)막 및 배선 형성 물질인 구리(Cu), 알루미늄

(Al) 연마에 사용된다. 일반적으로 금속막은 산이나 알칼리에 취약한 박막 구조를 지니고

있어 메탈 슬러리에는 이를 막기위한 화학 물질이 포함되어 있어야 한다.

그림 68. CMP 장비 구조 그림 69. CMP 공정 Mechanism

Polisging pad

Polisging Palte

Carrier

Slurry

Conditiner

Wafer (face down)

Iayer to be polished

Slurry

Polishing pad

-V

V

자료: 하나금융투자 자료: 하나금융투자

Page 37: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

37

10) 게이트 형성

그림 70. 게이트 형성

Gate

자료: 하나금융투자

반도체 제조과정은 웨이퍼 위에 산화, 포토, 식각, 박리, 세정, 증착, 연마등의 공정을 필요

에 따라 반복하면서 회로를 그려나가는 과정이다. 회로에는 트랜지스터, 다이오드, 저항, 인

덕터, 콘덴서등의 역할을 하는 소자들이 모두 그려진다. 수많은 소자를 칩 하나에 구현하여

직접 회로(IC)라고 부른다. 이러한 소자들 중 가장 핵심적인 역할을 하는 소자가 트렌지스터

이다. 트렌지스터는 전류의 증폭과 스위치 역할을 수행하는 소자이다. 최근 반도체 소자에

주로 이용되는 트렌지스터는 MOSFET(전계효과 트렌지스터)이다.

MOSFET은 소스(Source), 드레인(Drain), 게이트(Gate)의 세부분으로 구성되어 있으며,

소스와 드레인 사이의 통로를 통해 전류의 이동이 이루어진다. 이 통로는 산화막을 통해 게

이트와 연결되어 있다. 통로와 게이트 사이에 산화막이 있으므로 게이트와 통로간 전자의 이

동은 불가능하다. 게이트에 전압을 걸면, 이 때문에 발생하는 자기장이 통로 안의 전자의 흐

름을 제어하게 된다. 게이트에 작용하는 전압을 변경하여 통로에 흐르는 전류의 양을 조정하

는 트렌지스터의 역할을 하게 된다. 통상적으로 소스, 드레인을 N형 반도체로 형성하면 통

로는 P형 반도체로 형성한다. N형, P형을 반대로 형성하여도 동일한 원리로 작동한다.

메모리용 반도체인 DRAM, NAND는 트렌지스터의 Gate부에 인위적인 전압이 아닌 전하를

축척하는 소자를 적용한 반도체이다. DRAM은 Gate에 축전기(Capacitor)를, NAND는

Gate에 플로팅게이트(Floating Gate)를 설치한다. 축전기와 플로팅게이트는 전하를 축적하

여 자기장을 발생시킨다는 점은 동일하다. 다만, 외부에서 공급하던 전류가 차단되면 축전기

는 축적했던 전하를 잃는 반면 플로팅게이트는 여전히 전하를 저장하고 있다는 차이가 있다.

이러한 특성때문에 전원을 공급하지 않았을시 DRAM은 데이터를 잃는 휘발성 메모리,

NAND는 데이터를 저장하는 비휘발성 메모리로 구분된다.

그림 71. DRAM의 구조 그림72. NAND의 구조

Capacitor

Gate

SiO2

Source Drain

P-Si SUBSTRATE

2-D CHANNEL

P-Si SUBSTRATE

2-D CHANNEL

Source Drain

Gate

SiO2

SiO2

Poly Silicon

자료: 하나금융투자 자료: 하나금융투자

Page 38: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

38

11) Probe Test

그림 73. Probe Test

자료: 하나금융투자

전공정의 과정을 통해 웨이퍼 위에 회로가 완성되면, 구현된 회로들을 Test 하는 과정을 거

친다. 불량인 회로들은 2차적인 작업을 통해 바로잡거나 제거한다. Probe Test가 제대로 이

루이지 않으면 필요없는 회로들까지 패키지 과정을 거치게 되므로, 공정의 효율화를 위해 반

드시 필요한 과정이다.

Probe Test는 통상적으로 5가지의 과정을 거친다. 1) EPM Test는 회로의 전기적 특성을

검사한다. 다이(Die)가 설계한 것과 같이 정상적인 작동을 보여주는지를 테스트 하는 과정이

다. 검사 내용은 반도체의 목적에 따라 달라지지만, 대체로 130여개의 항목을 테스트 한다.

2) 스트레스 테스트는 웨이퍼의 회로가 특정 상황을 견디는지 판별하는 Test를 진행한다.

전자제품에 실장되는 반도체는 일정 이상의 전압과 온도를 견뎌야 한다. 전기적 스트레스는

4.5V~5.5V의 전압을 이용하고, 온도 스트레스 테스트는 100~125도의 환경 내에서 수행

한다. 3) 앞선 2개의 테스트를 거친뒤 문제없는 다이를 통과시키거나, 불량한 다이의 수정

여부를 결정한다. 다이 하나에 불량 셀이 소수일 경우, 해당 셀들만 스페어 셀로 교체하여

수정이 가능하다. 4) 수리가 가능하다고 판단되는 Die는 레이저를 이용해 수정된다.5) 수정

된 다이에 대해 위의 테스트 과정을 다시 진행한다. 모든 과정을 통과한 다이 만이 다음 과

정인 패키징 공정을 진행할 수 있다.

Page 39: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

39

12) Grinding / Wafer Saw

그림 74. Grinding / Wafer Saw

자료: 하나금융투자

Probe Test를 마친 반도체 칩은 전자제품에 실장이 가능하도록 패키징 되는 과정을 거친다.

패키징은 전자제품과 전기적 신호를 연결하며 반도체 칩을 외부로부터 보호한다. 웨이퍼를

패키징 하기 위해, 웨이퍼의 두께를 줄이는 작업이 Grinding 공정이다. Wafer 연마용 다이

아몬드 휠로 회로가 없는 웨이퍼의 뒷면을 연마하여 패키지의 사이즈에 맞게 Grinding 한

다. Grinding 작업을 마친 Wafer 는 개별 칩으로 분리하는 과정을 거친다. Wafer 절단용

다이아몬드 블레이드를 사용하며, 고속 회전에 의해 웨이퍼를 개별 칩으로 분리한다.

그림 75. Back Grind 그림 76. Wafer Saw

자료: 하나금융투자 자료: 하나금융투자

Page 40: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

40

13) Die Attach / Wire Bonding

그림 77. Die Attach / Wire Bonding

자료: 하나금융투자

Probe Test에서 양품으로 판단된 칩은 Wafer 상에서 떼어내 이를 외부화 전기적 연결을 하

는 단자인 Substrate 기판에 접착한다. Lead Frame 위에 칩(Die)를 접착하기 때문에 Die

Attach 공정이라 부른다. 접착 후 칩과 Substrate 기판 사이에 전기적 연결을 위해, Gold

Wire로 칩과 Substrate를 연결하는 작업이 Wire Bonding 공정이다.

그림 78. Die Attach 그림 79. Wire Bond

자료: 하나금융투자 자료: 하나금융투자

Page 41: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

41

14) Molding / Marking

그림 80. Molding / Marking

자료: 하나금융투자

Substrate와의 전기적 연결이 완료되면, 칩을 보호하기 위한 Molding 공정을 거친다.

Molding 은 습기, 열, 물리적 충격에서 칩을 보호하기 위해 에폭시몰딩컴파운드(EMC)로 칩

을 감싸주는 공정이다. Molding 공정에서는 EMC를 180도에서 녹여준 후, 회로기판상의 반

도체 칩에 도포하는 과정을 거친다. 이후 표면에 레이저를 통해 제조사 및 제품번호를 각인

하는 Marking 공정을 수행한다.

그림 81. Molding 그림 82. Marking

자료: 하나금융투자 자료: 하나금융투자

Page 42: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

42

15) Package Test

그림 83. Package Test

자료: 하나금융투자

패키징 공정이 완료되면, 이 패키지가 정상적으로 작동하는지 확인하는 패키지 테스트를 거

친다. 패키지 형태 제품의 최종 불량유무를 선별하는 검사이다. 완제품 형태를 갖춘 후 검사

가 진행되어 Final Test 라고도 불린다. 테스트 장비 안에서 다양한 조건의 전압, 전기신호,

온도 등의 환경을 조성해 제품의 전기적 특성과 동작이 정상적으로 이뤄지는지 검사한다. 패

키지 테스트는 주로 Assembly Out, Burn-in, Mornitoring Burn-in, Post Burn, Final

Test 등이 있다.

Assembly Out은 패키지의 종류, 수량, Spec 등을 확인화는 테스트다. 제품의 당초 설계와

목적에 맞추어 정상적으로 생산되었는지 검사한다. Burn-in 테스트는 불량 가능성이 있는

제품을 제거하기 위한 공정이다. 제품에 고전압, 고온, 전기신호등 극한 조건을 가한 후에,

이후에도 제품이 정상적으로 작동하는지 검사한다. Mornitoring Burn-in 테스트는 극한

고전업, 고운등 극한 조건이 가해지는 상태에서 제품이 정상적으로 동작하는지 확인한다.

Burn-in 테스트에 비해 분석 기간을 단축할 수 있는 장점이 있다. Post Burn Test는 상온

및 저온 공간에서, Final Test는 다시 고온에서 제품 동작의 이상유무를 확인한다. 이러한

테스트를 반복적으로 거친 후에도 문제가 없는 제품만 출하되게 된다.

Burn-in 테스트 과정에선 환경 조건을 조성하는 테스트 장비 외에도, 테스트 과정에서 패

키지와 접촉하여 칩의 정상 작동 유무를 테스트 장비에 전달하는 테스트 소켓이 사용된다.

테스트 소켓은 여러 환경에 지속적으로 노출되어 일정 횟수 사용 후 교체해야 하는 소모성

부품이다.

그림 84. Burn - in 테스트 소켓

자료: 하나금융투자

Page 43: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

43

16) 패키지의 유형

패키지는 칩과 Substrate의 연결 방식, Substrate와 PCB간의 연결방식에 따라 구분된다.

칩과 Substrate의 연결은 Wire Bonding과 Flip Chip Bonding으로 구분된다. Wire

Bonding은 금 와이어를 통해 칩과 Substrate를 연결하는 전통적인 방법이다. Flip Chip

Bonding은 칩의 상단에 Bumb를, Substrate 상단에 Pad를 형성하여 열압착등의 방법을

통해 접속시킨다. 구조상 칩이 뒤집힌 상태로 Substrate와 접속되어 Flip Chip 이라는 용어

를 사용한다. 와이어 방식 대비 전기적 특성이 향상되나, 부착과정에서 칩이 손상될 우려가

있다.

그림 85. Wire Bonding 그림 86. Flip Chip Bonding

Lead Frame

Substrate

Die

Pad

IntegratedCircuit Chip

Chip Bumps

PrintedConductor Runs

Substrate

자료: 하나금융투자 자료: 하나금융투자

Page 44: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

44

Substrate와 PCB의 결합방식은 주로 BGA(Ball Grid Array), PGA(Pin Grid Array),

LGA(Land Grid Array) 방식을 사용한다.

BGA 방식은 솔더볼을 이용해 메인 PCB와 접속하는 방식이다. 칩을 보호하는 패키징 소재

에 따라 PBGA(Plastic), CBGA(Ceramic), TBGA(Tape) 으로 분류된다. 한번 실장을 하면

탈 부탁이 불가능한 방식이다.

PGA 방식은 Substrate에 Pin을 만들어 메인 PCB 상의 소켓을 통해 접속하는 방식이다.

데스크탑 메인보드의 CPU와 같이 탈부착이 필요한 부품에 주로 사용된다. PGA 방식은 Pin

의 간격이 최소 1.27mm로 제한되어, Substrate의 크기가 칩에 비에 크다는 단점이 있다.

LGA 방식은 Substrate에 Land(패드), 메인 PCB에 탄성을 가진 핀 소켓을 탑재하는 방식

이다. PGA 방식대비 Substrate 제조원가 감소, 패키지 크기가 감소한다.

그림 87. PCB에 실장된 IC Substrate 그림 88. BGA – Ball Grid Array

IC

Main PCB

IC Substrate

자료: 하나금융투자 자료: 하나금융투자

그림 89. PGA – Pin Grid Array 그림 90. LGA – Land Grid Array

자료: 하나금융투자 자료: 하나금융투자

Page 45: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

45

패키징 방식중 CSP(Chip Scale Package)는 찹과 크기가 같거나 약간 큰(1.2배 이내) IC

Substrate를 사용하는 방식이다. 경박단소화가 필요한 스마트폰류의 전자기기에 주로 사용

되며, 두께, 크기, 입출력수, 층수가 적다는 점 외에는 PBGA 방식과 동일하다. 사용 용도에

따라 MCP(Multi Chip Package), SiP(System in Package), BOC(Board On Chip) 등으로

분류된다.

MCP(Multi Chip Package)는 여러개의 칩을 수직으로 쌓아서 만든 IC Substrate 이다. 실

장면적 및 제조비용이 감소한다. 수평뿐만 아니라 개별적으로 작동하는 다양한 칩을 하나로

패키징한 방식이 SiP(System in Package)이며, 칩의 동작 특성이 향상하는 효과가 있다.

BOC(Board On Chip)는 DRAM에서 이용되는 패키징 방식이다. DRAM의 경우 입출력 부

분이 일반적인 반도체와 달리 칩 중앙에 배열된다. 따라서, 기존의 리드 프레임에 칩을 부착

하는 방식은 고속의 메모리에 적합하지 않다. BOC는 칩을 몸체 가운데의 빈 공간을 통해 와

이어 본딩을 통해 연결한다.

그림 91. MCP – Multi Chip Package 그림 92. BOC – Board On Chip

IC chip

Wire bonds

Substrate

Overmoiding

Solder balls

Die attach

Memory chip

Solder ball

Wire

Encapsulation BOC substrate

자료: 하나금융투자 자료: 하나금융투자

Page 46: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

46

Etchant(습식식각)

솔 브레인(215)

이 엔에프테크(230*)

Mitsubishi chem

Sumitomo chem(3410**)

Hitachi chem(2307**)

Etching 소모품

티 씨케이 (SiC Ring)

Photoresist

동 진쎄미 켐(625)

동 우화인켐

Merck

• 괄 호 안 매출 규모 단위는백만달러, 2015년 기준• (*) 품 목별매출액 Data 아님, 사업부(반도체향) 매출액• (**) 품 목별 매출액 Data 아님, 사업부(IT향 or 산업용) 매출액

Etching gas(건식식각)

SK머 트리얼즈(235) Smitomo Seika chem

원 익머트리얼즈(124*) Kanto Denka

후 성(117*) Taiyo Nippon Sanso

Airproduct

Showa Denko(1072**)

Linde

PR도포

전공정 소재/재료

전공정 장비

노광 식각

현상

노광($6,105 백만)

ASML(4,596)

Nikon(688)

Canon(379)

식각($6,152 백만)

Lam Research(3,252)

Tokyo Electron(1,218)

Applied Materials(1,130)

박리($244 백만)

Lam Research(73)

PSK(68)

Hitachi High-Tech(46)

Grinding Sawing

후공정 장비 Dicer

ASM

한 미반도체

이 오테크닉스

Other

원 익QnC(쿼츠)

엑 사이엔씨(클린룸)

한 양디지텍(모듈)

기타 테스트

Page 47: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

47

박리/세정 증착

이온주입 연마

세정재료

한 솔케미칼(81*)

후 성(117*)

Mitsubishi Gas Chem

전구체(Precursor)

원 익머티리얼즈(124*)

디 엔에프(63)

한 솔케미칼(26)

후 성

솔 브레인

Air Product

증착 gas

SK머 트리얼즈(235) Smitomo Seika chem

원 익머트리얼즈(124*) Kanto Denka

후 성(117*) Taiyo Nippon Sanso

Airproduct

Showa Denko(1072**)

Gas 배관/특수설비

한 양이엔지(480*)

엘 오티베큠(122)

CMP 슬러리

솔 브레인(35)

케이 씨텍(16)

Hitachi chem(2307**)

Air Product

Cabot

세정($550 백만)

Screen Semiconductor(269)

Tokyo Electron(249)

케이 씨텍(16)

PSK(12)

테 스(4)

이온주입($1,032 백만)

Applied Materials(724)

Axcelis(187)

SMIT(87)

증착($6,977 백만)

Applied Materials(2,868)

Lam Research(1,078)

Tokyo Electron(982)

원 익IPS(128)

테 스(68)

주 성엔지(63)

유 진테크(50)

연마/CMP

AMAT

Ebara

케이 씨텍(9)

SK머트리얼즈

Die Attach Wire bonding Molding Masking

Bonder

ASM

테 크윈

한 미반도체

Molding/Masking

DISCO

한 미반도체

이 오테크닉스

패키징 재료

덕 산하이메탈(숄더볼)

엠 케이전자(숄더볼, 본딩와이어)

해 성디에스(Substrate)

대 덕전자(Substrate)

패키징 업체

ASE

하 나마이크론

SFA반 도 체

네 패스

Tester

Advantest

Teradyne

유 니테스트

테 크윙

테스트서비스/테스트소켓

Amkor

SPIL

리 노공업

ISC

후공정 소재/재료

Page 48: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Overweight

Top Picks 및 관심종목

솔브레인 (036830) 49

SK머티리얼즈 (036490) 56

원익IPS (240810) 62

테스 (095610) 65

유진테크 (084370) 68

케이씨텍 (029460) 71

피에스케이 (031980) 74

유니테스트 (086390) 77

테크윙 (089030) 80

한솔케미칼 (014680) 83

원익머트리얼즈 (104830) 86

후성 (093370) 89

이엔에프테크놀로지 (102710) 92

리노공업 (058470) 95

ISC (095340) 98

원익QnC (074600) 101

엘오티베큠 (083310) 104

해성디에스 (195870) 107

Page 49: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

49

2016년 11월 2일

솔브레인 (036830)

올라운드 플레이어

Top Picks

BUY

I TP(12M): 84,000원(신규) I CP(11월1일): 64,500원

Key Data Consensus Data

KOSDAQ 지수 (pt) 624.68 2016 2017

52주 최고/최저(원) 67,500/33,350 매출액(십억원) 720.7 792.6

시가총액(십억원) 1,033.5 영업이익(십억원) 122.4 141.5

시가총액비중(%) 0.53 순이익(십억원) 99.4 117.6

발행주식수(천주) 16,563.2 EPS(원) 6,005 7,098

60일 평균 거래량(천주) 96.0 BPS(원) 36,259 43,083

60일 평균 거래대금(십억원) 5.9

16년 배당금(예상,원) 500 Stock Price

16년 배당수익률(예상,%) 0.80

60

80

100

120

140

160

180

30

35

40

45

50

55

60

65

70

15.10 16.1 16.4 16.7 16.10

(천원)솔브레인(좌)

상대지수(우)

외국인지분율(%) 30.56

주요주주 지분율(%)

정지완 외 9 인 45.64

국민연금 7.10

주가상승률 1M 6M 12M

절대 2.5 43.4 56.6

상대 11.7 60.7 71.4

Financial Data

투자지표 단위 2014 2015 2016F 2017F 2018F

매출액 십억원 538.6 627.9 717.0 769.7 828.8

영업이익 십억원 48.0 101.1 118.9 127.9 136.2

세전이익 십억원 53.2 110.2 126.5 136.2 144.5

순이익 십억원 38.1 80.7 95.3 102.6 108.8

EPS 원 2,336 4,878 5,754 6,192 6,570

증감률 % (39.2) 108.8 18.0 7.6 6.1

PER 배 13.76 8.33 10.84 10.08 9.50

PBR 배 1.23 1.33 1.75 1.51 1.31

EV/EBITDA 배 6.18 5.13 8.20 6.78 6.21

ROE % 9.38 17.57 17.74 16.36 15.02

BPS 원 26,149 30,570 35,703 41,408 47,491

DPS 원 450 500 500 500 500

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

투자의견 ‘BUY’, 목표주가 84,000원으로 커버리지 개시

솔브레인에 대한 투자의견 ‘BUY’, 목표주가 84,000원으로 커

버리지를 개시한다. 솔브레인은 1) 반도체용 화학제품이 식각,

증착, CMP 모든 공정에 개입하고 있어 실적 안정성을 확보했

다. 2) 고객사의 3D NAND 투자에 의한 식각액 모멘텀이 유

효하다. 식각액 중심의 반도체향 매출액은 전년대비 13% 증가

해 전사 실적 견인할 것으로 전망한다. 3) 반도체향 제품군은

수익성도 양호해 전사 수익성 개선에도 기여할 것으로 판단된

다. 4) 2017년 기준 PER 10.1배로 글로벌 동종업체의 평균인

14.1배보다 저평가 되어 있어 여전히 주가 상승 여력은 높다

는 판단이다.

반도체 공정용 화학제품으로 성장성과 수익성 양호

솔브레인의 반도체용 주요 제품은 식각액, 전구체, CMP 슬러

리이다. 반도체 공정에서 높은 비중을 차지하는 식각과 증착

관련 제품을 공급중에 있다. 특히 3D NAND의 투자로 인해

중요도와 횟수가 상향되는 식각 공정에 관여하고 있어 향후 성

장성이 양호할 전망이다. 2017년 삼성전자와 SK하이닉스의

3D NAND 투자에 의해 안정적인 성장이 가능할 것으로 추정

된다. 반도체향 제품의 매출액은 전년대비 13% 증가한 4,047

억원에 달할 전망이다. 이에 따라 전사 매출액은 7,697억원,

영업이익은 1,279억원으로 각각 전년대비 7%, 8% 증가가 예

상된다. 증착용 전구체와 CMP 슬러리의 경우 NAND향 매출

이 미미하지만, 향후 확대시에는 외형 성장에 기여할 것으로

기대된다.

디스플레이향 제품은 정체 불가피

디스플레이향 주요 제품은 식각액과 Thin Glass, 유기재료이

다. 식각액은 디스플레이의 사이즈에 의해서 좌우되기 때문에

모바일용 OLED 증설과는 무관하다. Thin Glass는 OLED용

Glass의 두께를 화학용품을 사용해 얇게 만들어주는 사업으로

최근 고객사의 플렉서블용 투자와 무관하다. 2017년 디스플레

이향 제품은 전년수준인 2,953억원을 전망한다.

Page 50: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

50

Valuation: 목표주가 84,000원으로 커버리지 개시

솔브레인에 대한 목표주가 84,000원은 12개월 forward EPS에 글로벌 동종업체의 2017년

평균 PER 14.1배를 적용해서 산출했다. 글로벌 업체와 실적 규모 측면에서의 차이는 있지

만 성장성과 수익성 측면에서 우위에 있어 동등한 평가를 받을 수 있다는 판단이다. 3D

NAND 투자를 통해 공정 횟수가 상승하는 식각과 증착, CMP의 소재를 다루고 있어 성장성

이 높을 것으로 기대된다. 현재는 3D NAND에 유일하게 관여하고 있는 식각액의 비중이 반

도체 내에서 81%의 비중에 달한다. 다만, 전구체과 CMP슬러리도 NAND향 진입을 위한 준

비는 꾸준히 진해중에 있으며, 향후 채택시 실적 모멘텀이 부각될 것으로 기대된다.

표 1. 솔브레인 글로벌 Peer Valuation

솔브레인 hitachi chem JSR CABOT FUJIMI 평균

종가($) 54.6 23.3 15.4 52.0 15.8

시가총액($십억) 0.9 4.9 3.5 3.2 0.5

SALES 15 555.2 4,592.0 3,246.0 2,663.0 268.3 2,692.3

16F 642.2 4,913.8 3,451.7 2,480.0 307.0 2,788.1

17F 704.8 5,179.5 3,627.3 2,616.9 339.2 2,940.7

OP 15 89.3 407.7 306.7 -931.0 31.8 -46.2

16F 111.3 505.9 242.4 321.7 32.2 275.6

17F 128.2 521.9 303.2 356.1 40.8 305.5

NP 15 70.9 292.5 220.6 163.0 25.1 175.3

16F 86.9 344.9 192.8 200.9 25.2 191.0

17F 102.9 374.3 222.0 222.3 29.5 212.0

OP margin 15 16.1 8.9 9.4 -35.0 11.8 -1.2

16F 17.3 10.3 7.0 13.0 10.5 10.2

17F 18.2 10.1 8.4 13.6 12.0 11.0

ROE 15 17.6 9.9 7.2 -12.0 6.1 2.8

16F 18.1 10.1 6.4 15.3 5.4 9.3

17F 18.1 10.3 6.7 16.3 N/A 11.1

PER 15 12.5 16.6 15.9 21.1 15.9 17.4

16F 10.4 14.2 17.3 15.3 15.7 15.6

17F 8.8 13.0 15.4 14.5 13.4 14.1

PBR 15 2.1 1.6 1.1 2.6 1.0 1.6

16F 1.7 1.4 1.0 2.4 0.9 1.4

17F 1.5 1.3 1.0 2.3 0.8 1.3

EV/EBITDA 15 8.5 6.5 6.1 9.4 N/A 7.3

16F 6.1 5.5 7.3 8.3 N/A 7.0

17F 4.8 5.0 7.1 5.4 N/A 5.8

자료: 하나금융투자

Page 51: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

51

전방위적인 반도체용 공정 소재 공급업체

솔브레인은 반도체용 식각액, 전구체, CMP 슬러리를 고객사에 공급중이다. 반도체용 식각

액의 매출은 2016년 2,894억원으로 전망되어 반도체용 소재 내에서 81%의 비중을 차지한

다. 식각액의 경우 고객사의 3D NAND 생산라인에 공급중에 있어 향후 성장성도 양호할 것

으로 추정된다. 2017년 반도체용 식각액의 매출액은 전년대비 15% 증가해 반도체용 소재는

물론 전사 이익을 견인할 것으로 전망된다. 식각액의 경우, 고객사의 증설에 의한 증가분도

있지만 3D NAND의 단수 상승으로 인한 수요 증가도 가능하다. 반도체용 재료는 수익성도

양호해 수익성 방어 측면에서도 기여할 것으로 판단된다.

그림 1. 삼성전자의 CAPA와 솔브레인 식각액 매출액 추이 및 전망

0

100

200

300

400

500

600

700

800

900

1,000

0

10

20

30

40

50

60

70

80

90

100

1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 3Q16F 4Q16F 1Q17F 2Q17F 3Q17F 4Q17F

Etchant Wafer Capa(우)(십억원) (천장/월)

자료: 하나금융투자

그림 2. 솔브레인 반도체용 매출액 전망

0

50

100

150

200

250

300

350

400

450

13 14 15 16F 17F

Etching Precursors CMP Slurry(십억원)

자료: 하나금융투자

Page 52: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

52

전구체와 CMP슬러리는 향후 실적 상향 요인

솔브레인의 전구체는 증착 공정인 CVD와 ALD에 사용되는 High-K, low-K로 주로

DRAM 공정에 적용되고 있다. CMP슬러리는 세리아 계열로 역시나 DRAM용으로 주로 공

급중이다. NAND의 투자에 의해서 상대적으로 성장성 측면에서의 기대감은 떨어지지만, 매

년 꾸준하게 성장하고 있는 점은 긍정적이다. 장기간 NAND향 제품 공급을 위한 준비가 이

루어졌고, 고객사와도 지속 접촉중이기 때문에 가능성이 닫혀 있는 것은 아니라는 판단이다.

향후 NAND용 공급이 확정되어 매출이 본격화된다면 성장동력으로 자리잡을 것으로 기대된

다. 3D NAND의 공정에서 증착과 CMP도 공정 횟수가 증가하기 때문에 예의주시할 필요가

있다.

그림 3. CMP 매출추이 및 전망

0

5

10

15

20

25

30

35

40

45

13 14 15 16F 17F

(십억원)

자료: 하나금융투자

그림 4. 전구체 매출 추이 및 전망

0

5

10

15

20

25

30

35

13 14 15 16F 17F

(십억원)

자료: 하나금융투자

Page 53: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

53

디스플레이와 2차전지 소재는 반전이 필요

솔브레인의 디스플레이향 제품은 Thin Glass, 식각액, 유기재료로 구성되어 있다. Thin

Glass는 OLED에 사용되는 Glass의 두께를 화학작용을 통해 줄여주는 사업부다. 스마트폰

경박화를 위한 공정인데, 고객사의 Rigid OLED의 수요에 연동되기 때문에 최근 진행중인

플렉서블 OLED의 증설 수혜가 제한적인 점은 아쉽다. 식각액에서는 반전의 기회가 제공될

것으로 예상된다. 중국 고객사향 공급을 위한 승인 단계가 진행중인 것으로 파악되는데 긍정

적인 결과가 기대된다. 2017년 디스플레이향 매출액은 2,953억원으로 전년대비 1% 증가에

그칠 것으로 전망한다. 다만, 앞서 언급한 중국 고객사 확보를 통해 상향 여지는 있을 것이다.

2차전지 소재로 전해액을 다루고 있다. 2차전지 전해액도 매출액 감소가 지속되고 있는데,

최근 고객사의 중대형 전지 매출이 반등할 기회들이 생긴 것으로 파악된다. 향후 중장기적인

관점에서 지켜볼 필요가 있는 사업부다.

그림 5. 디스플레이 매출 추이 및 전망

0

50

100

150

200

250

300

13 14 15 16F 17F

2차전지 Etchant Thin Glass O.M(십억원)

자료: 하나금융투자

그림 6. 2차전지 매출 추이 및 전망

0

50

100

150

200

250

300

350

400

13 14 15 16F 17F

(십억원)

자료: 하나금융투자

Page 54: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

54

2017년 영업이익 1,279억원으로 사상 최대치 갱신 지속

솔브레인의 2016년 매출액, 영업이익은 전년대비 각각 14%, 18% 증가한 7,170억원,

1,189억원을 전망한다. 2016년에는 반도체와 디스플레이향 매출액이 각각 15%, 16% 증가

할 것으로 추정된다. 반도체는 식각액 중심으로, 디스플레이는 Thin Glass 중심으로 성장할

것이다. 식각액은 고객사의 3D NAND 비중확대, Thin Glass는 고객사의 OLED 외판 확대

에 따른 수요 증가로 인해 양호한 성장률을 시현할 것으로 판단한다.

2017년 디스플레이 소재의 매출 증가율은 1%에 그칠 것이지만, 중국 고객사향 신규 매출

여부에 따라 상승 가능성은 상존한다. 반도체 소재는 2016년과 같이 식각액 중심으로 전년

대비 13%의 외형성장이 가능할 것으로 전망한다. 반도체 소재의 매출비중이 확대되며 디스

플레이 소재의 매출 정체에 의한 수익성 악화를 상쇄 가능할 것으로 추정한다. 2017년 영업

이익은 1,279억원으로 2016년에 이어 사상 최대치 갱신을 지속할 것으로 판단된다.

표 2. 실적 추이 (단위: 십억원)

1Q16 2Q16 3Q16F 4Q16F 1Q17F 2Q17F 3Q17F 4Q17F 2015 2016F 2017F

매출액 172.4 177.0 183.3 184.3 182.8 192.5 199.4 195.0 619.5 717.0 769.7

디스플레이 70.1 74.6 76.3 71.3 70.8 75.4 75.5 73.5 253.0 292.3 295.3

반도체 85.5 84.7 89.8 96.8 95.2 99.3 105.7 104.5 302.4 356.8 404.7

기타 16.8 17.7 17.2 16.3 16.8 17.7 18.1 17.0 64.1 67.9 69.7

QoQ -1% 3% 4% 1% -1% 5% 4% -2%

디스플레이 -4% 6% 2% -7% -1% 6% 0% -3%

반도체 -1% -1% 6% 8% -2% 4% 6% -1%

기타 6% 6% -3% -5% 3% 6% 2% -6%

매출비중

디스플레이 41% 42% 42% 39% 39% 39% 38% 38% 41% 41% 38%

반도체 50% 48% 49% 53% 52% 52% 53% 54% 49% 50% 53%

기타 10% 10% 9% 9% 9% 9% 9% 9% 10% 9% 9%

영업이익 31.5 27.6 29.3 30.4 29.8 31.8 33.7 32.6 99.7 118.9 127.9

영업이익률 18% 16% 16% 17% 16% 17% 17% 17% 16% 17% 17%

자료: 하나금융투자

그림 7. PER Band 그림 8. 솔브레인 매출액, 영업이익률 추이

0

20,000

40,000

60,000

80,000

100,000

120,000

140,000

08 09 10 11 12 13 14 15 16

수정주가 19.0x 15.9x

12.7x 9.6x 6.4x

(원)

0%

2%

4%

6%

8%

10%

12%

14%

16%

18%

20%

0

50

100

150

200

250

1Q15 3Q15 1Q16 3Q16F 1Q17F 3Q17F

디스플레이 반도체

기타 영업이익률(우)

(십억원)

자료: 하나금융투자 자료: 하나금융투자

Page 55: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

55

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2014 2015 2016F 2017F 2018F 2014 2015 2016F 2017F 2018F

매출액 538.6 627.9 717.0 769.7 828.8 유동자산 174.3 209.4 194.8 225.9 268.7

매출원가 440.8 472.1 537.1 577.6 612.6 금융자산 88.8 96.4 75.8 100.1 133.1

매출총이익 97.8 155.8 179.9 192.1 216.2 현금성자산 86.4 86.1 65.0 88.6 120.8

판관비 49.7 54.8 61.0 64.2 79.9 매출채권 등 53.7 70.3 74.1 78.4 84.4

영업이익 48.0 101.1 118.9 127.9 136.2 재고자산 23.7 32.0 33.8 35.7 38.5

금융손익 (1.1) (0.6) (1.4) 0.0 2.1 기타유동자산 8.1 10.7 11.1 11.7 12.7

종속/관계기업손익 7.6 3.1 2.1 0.0 0.0 비유동자산 400.0 522.4 572.4 619.0 680.0

기타영업외손익 (1.3) 6.6 6.9 8.3 6.1 투자자산 75.5 170.8 180.0 190.4 205.1

세전이익 53.2 110.2 126.5 136.2 144.5 금융자산 11.4 11.1 11.7 12.3 13.3

법인세 16.8 29.6 31.3 33.8 35.8 유형자산 300.3 321.0 360.6 396.8 443.1

계속사업이익 36.4 80.6 95.2 102.4 108.6 무형자산 12.8 12.7 12.3 12.3 12.3

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 11.4 17.9 19.5 19.5 19.5

당기순이익 36.4 80.6 95.2 102.4 108.6 자산총계 574.3 731.7 767.2 845.0 948.6

비지배주주지분 순이익 (1.7) (0.1) (0.1) (0.2) (0.2) 유동부채 144.0 223.2 169.6 152.7 155.4

지배주주순이익 38.1 80.7 95.3 102.6 108.8 금융부채 98.1 149.9 101.4 81.4 79.8

지배주주지분포괄이익 38.6 80.5 95.0 102.6 108.8 매입채무 등 35.7 50.9 53.6 56.7 61.1

NOPAT 32.9 73.9 89.4 96.2 102.4 기타유동부채 10.2 22.4 14.6 14.6 14.5

EBITDA 87.3 143.4 130.8 151.7 159.9 비유동부채 8.3 15.8 15.2 15.5 15.9

성장성(%) 금융부채 3.4 11.3 10.4 10.4 10.4

매출액증가율 (15.2) 16.6 14.2 7.4 7.7 기타비유동부채 4.9 4.5 4.8 5.1 5.5

NOPAT증가율 (52.0) 124.6 21.0 7.6 6.4 부채총계 152.3 239.0 184.8 168.2 171.3

EBITDA증가율 (28.4) 64.3 (8.8) 16.0 5.4 지배주주지분 423.9 494.7 579.7 674.2 774.9

영업이익증가율 (45.8) 110.6 17.6 7.6 6.5 자본금 8.2 8.3 8.3 8.3 8.3

(지배주주)순익증가율 (38.8) 111.8 18.1 7.7 6.0 자본잉여금 76.0 78.8 78.8 78.8 78.8

EPS증가율 (39.2) 108.8 18.0 7.6 6.1 자본조정 (6.5) (11.9) (13.8) (13.8) (13.8)

수익성(%) 기타포괄이익누계액 3.7 3.5 3.2 3.2 3.2

매출총이익률 18.2 24.8 25.1 25.0 26.1 이익잉여금 342.5 415.9 503.2 597.6 698.4

EBITDA이익률 16.2 22.8 18.2 19.7 19.3 비지배주주지분 (1.9) (2.0) 2.7 2.6 2.4

영업이익률 8.9 16.1 16.6 16.6 16.4 자본총계 422.0 492.7 582.4 676.8 777.3

계속사업이익률 6.8 12.8 13.3 13.3 13.1 순금융부채 12.6 64.7 36.0 (8.2) (43.0)

투자지표 현금흐름표 (단위: 십억원)

2014 2015 2016F 2017F 2018F 2014 2015 2016F 2017F 2018F

주당지표(원) 영업활동 현금흐름 70.7 120.4 125.4 122.7 127.4

EPS 2,336 4,878 5,754 6,192 6,570 당기순이익 36.4 80.6 95.2 102.4 108.6

BPS 26,149 30,570 35,703 41,408 47,491 조정 34.5 61.1 14.8 23.8 23.7

CFPS 4,448 7,816 8,734 9,630 10,023 감가상각비 39.3 42.3 11.9 23.8 23.7

EBITDAPS 5,352 8,667 7,896 9,159 9,652 외환거래손익 (0.4) (0.5) 0.0 0.0 0.0

SPS 33,017 37,950 43,286 46,471 50,036 지분법손익 (7.6) (3.1) (10.4) 0.0 0.0

DPS 450 500 500 500 500 기타 3.2 22.4 13.3 0.0 0.0

주가지표(배) 영업활동 자산부채 변동 (0.2) (21.3) 15.4 (3.5) (4.9)

PER 13.8 8.3 10.8 10.1 9.5 투자활동 현금흐름 (30.4) (170.7) (89.3) (71.1) (85.5)

PBR 1.2 1.3 1.7 1.5 1.3 투자자산감소(증가) 7.8 (77.8) 11.8 (10.4) (14.6)

PCFR 7.2 5.2 7.1 6.5 6.2 유형자산감소(증가) (32.8) (59.3) (69.9) (60.0) (70.0)

EV/EBITDA 6.2 5.1 8.2 6.8 6.2 기타 (5.4) (33.6) (31.2) (0.7) (0.9)

PSR 1.0 1.1 1.4 1.3 1.2 재무활동 현금흐름 (15.9) 48.5 (56.9) (28.1) (9.7)

재무비율(%) 금융부채증가(감소) (11.8) 59.7 (49.3) (20.0) (1.7)

ROE 9.4 17.6 17.7 16.4 15.0 자본증가(감소) 7.0 2.9 0.0 0.0 0.0

ROA 6.7 12.4 12.7 12.7 12.1 기타재무활동 (3.9) (6.8) 0.5 (0.0) 0.1

ROIC 8.9 19.4 21.4 20.9 20.3 배당지급 (7.2) (7.3) (8.1) (8.1) (8.1)

부채비율 36.1 48.5 31.7 24.9 22.0 현금의 증감 24.8 (0.3) (21.1) 23.6 32.2

순부채비율 3.0 13.1 6.2 (1.2) (5.5) Unlevered CFO 72.6 129.3 144.7 159.5 166.0

이자보상배율(배) 13.9 28.0 59.0 36.8 43.8 Free Cash Flow 37.6 59.6 55.0 62.7 57.4

자료: 하나금융투자

Page 56: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

56

2016년 11월 2일

SK머티리얼즈 (036490)

여전히 배고프다

Top Picks

BUY

I TP(12M): 200,000원(신규) I CP(11월1일): 155,400원

Key Data Consensus Data

KOSDAQ 지수 (pt) 624.68 2016 2017

52주 최고/최저(원) 160,000/80,700 매출액(십억원) 463.8 568.9

시가총액(십억원) 1,645.4 영업이익(십억원) 156.1 193.6

시가총액비중(%) 0.84 순이익(십억원) 107.8 135.5

발행주식수(천주) 10,547.7 EPS(원) 10,121 12,691

60일 평균 거래량(천주) 58.6 BPS(원) 40,707 50,232

60일 평균 거래대금(십억원) 8.9

16년 배당금(예상,원) 2,560 Stock Price

16년 배당수익률(예상,%) 1.64

70

90

110

130

150

170

190

210

73

93

113

133

153

173

15.10 16.1 16.4 16.7 16.10

(천원)SK머티리얼즈(좌)

상대지수(우)

외국인지분율(%) 15.27

주요주주 지분율(%)

SK 외 2 인 49.14

국민연금 5.06

주가상승률 1M 6M 12M

절대 (1.0) 31.3 67.9

상대 7.9 47.1 83.8

Financial Data

투자지표 단위 2014 2015 2016F 2017F 2018F

매출액 십억원 211.7 338.0 457.5 548.2 636.8

영업이익 십억원 26.4 112.8 153.6 181.4 212.0

세전이익 십억원 17.4 87.9 140.6 169.5 198.1

순이익 십억원 13.6 66.1 102.1 120.8 141.2

EPS 원 1,289 6,270 9,682 11,456 13,390

증감률 % 3,806.1 386.4 54.4 18.3 16.9

PER 배 39.71 18.56 16.11 13.62 11.65

PBR 배 1.67 3.26 3.95 3.22 2.63

EV/EBITDA 배 9.64 8.23 8.82 7.61 6.58

ROE % 4.25 18.92 25.75 26.05 24.87

BPS 원 30,604 35,670 39,536 48,432 59,262

DPS 원 1,000 2,560 2,560 2,560 2,560

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

투자의견 ‘BUY’, 목표주가 200,000원으로 커버리지 개시

SK머티리얼즈에 목표주가 200,000원과 투자의견 ‘BUY’

로 커버리지 개시한다. 1) 주력 제품인 NF3의 수요/공급 밸런

스가 지속되며 2017년 매출액은 전년대비 12% 증가한 3,570

억원으로 안정적으로 성장할 전망이다. 2017년 NF3 업체들의

생산능력은 연간 26,050톤으로 전년대비 17% 증가하고, 수요

는 18% 증가해 2016년과 유사한 수준일 것으로 추정된다. 2)

WF6 증설 결정으로 성장동력 확보 및 제품 포트폴리오 다변

화가 가능할 전망이다. 이는 WF6의 수요에 대응하기 위한 것

으로, 3D NAND의 WF6 사용량은 2D NAND보다 2배 정도

인 것으로 파악된다. 3) 2017년 기준 PER 13.6배로 글로벌

동종업체의 평균 17.6배보다 낮아 가격적인 매력을 확보했다

는 판단이다.

NF3 수요 확대로 안정적인 밸런스 유지 전망

글로벌 업체들의 NF3 생산능력 증설이 진행중이다. 국내 업체

인 효성의 NF3 생산능력은 2015년 2,000톤, 2016년 3,250

톤, 2017년 4,500톤으로 증가할 예정이다. 그럼에도 불구하고

NF3 수요/공급 밸런스가 유지될 수 있는 것은 수요 또한 증가

중이기 때문이다. 3D NAND에서 NF3의 수요는 기존대비 1.5

배 증가하는 것으로 파악된다. 또한 OLED 증설도 NF3의 수

요에는 긍정적이다. 2017년 글로벌 생산능력은 26,050톤, 수

요는 24,000톤으로 공급이 9% 많을 것으로 추정된다. 수요대

비 생산능력의 10% 내외는 밸런스 수준이며, 15%를 초과하기

시작하면 공급과잉으로 파악된다. 따라서 2017년 안정적인 가

격 유지가 가능할 것으로 판단된다.

증착 가스와 전구체로 증착 시장에도 진입

SK에어가스 인수로 16년 2분기부터 연결기준 실적에 반영되

기 시작하고 있다. 또한 일본의 Tri-Chemical사가 35%, SK

머타리얼즈가 65% 지분을 보유한 합작회사를 설립했다. 이는

지르코늄 계열의 전구체 양산을 위한 회사로 WF6와 더불어

증착 공정에 진입이 가능할 전망이다. SK에어가스 인수와

WF6 증설, 합작법인 발표 등으로 미루어 보았을 때, SK하이

닉스를 필두로 반도체 공급체인 안에서 SK머티리얼즈의 역할

이 확대되고 있다는 판단이다.

Page 57: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

57

Valuation: 목표주가 200,000원

SK머티리얼즈에 대한 목표주가는 2017년 추정 EPS 11,456원에 글로벌 동종업체의 2017

년 평균 PER 17.6배를 적용해서 산출했다. NF3 업계 최대 CAPA를 확보하고 있어 글로벌

동종업체와 대등한 밸류에이션이 가능하다는 판단이다. 또한 SK에어가스 인수를 통해 산업

용 가스 진출, WF6 증설을 통해 증착용 가스 매출 본격화, 합작회사 설립으로 전구체 시장

진입 등 사업 다각화를 추진하고 있다. SK 그룹사의 반도체 공급체인 안에서도 역할이 확대

되고 있는 점 또한 긍정적으로 평가한다.

표 1. Global Peer Valuation

SK머티리얼즈 Air prods Praxair Air Liquide linde Mitsui Chem 평균

종가($) 136.2 133.8 118.1 102.1 165.3 5.0 104.9

시가총액($십억) 1.4 29.0 33.7 39.7 30.7 5.1 27.6

SALES 15 298.9 9,689.9 10,776.0 18,181.7 19,918.0 11,714.8 14,056.1

16F 411.5 9,161.4 10,539.6 20,483.7 18,870.7 11,147.2 14,040.5

17F 500.1 8,028.6 11,117.4 23,404.9 19,537.4 11,770.5 14,771.8

OP 15 99.8 1,766.9 2,321.0 3,061.8 2,236.7 542.5 1,985.8

16F 139.4 2,038.1 2,349.7 3,432.0 2,355.5 746.4 2,184.3

17F 169.5 1,875.8 2,541.1 3,909.0 2,516.9 842.7 2,337.1

NP 15 68.5 1,478.9 1,677.0 2,046.5 1,421.4 261.5 1,377.1

16F 96.2 1,583.8 1,581.1 2,044.3 1,397.2 245.6 1,370.4

17F 121.3 1,430.1 1,703.8 2,282.1 1,526.1 463.8 1,481.2

OP margin 15 33.4 18.2 21.5 16.8 11.2 4.6 14.5

16F 33.9 22.2 22.3 16.8 12.5 6.7 16.1

17F 33.9 23.4 22.9 16.7 12.9 7.2 16.6

ROE 15 18.9 15.3 30.9 14.7 8.2 5.5 14.9

16F 26.6 11.0 32.8 13.7 8.7 10.6 15.4

17F 27.7 17.6 31.9 13.5 9.1 11.3 16.7

PER 15 21.0 19.7 20.4 17.6 21.6 19.0 19.7

16F 15.0 18.5 21.5 17.9 21.6 21.3 20.1

17F 11.9 20.9 19.8 16.8 19.9 10.7 17.6

PBR 15 4.5 4.0 7.7 2.7 1.9 1.5 3.5

16F 3.7 3.9 6.2 2.2 1.9 1.3 3.1

17F 3.0 3.3 5.8 2.1 1.8 1.2 2.8

EV/EBITDA 15 11.7 11.4 12.0 10.0 8.8 9.1 10.3

16F 7.8 10.4 12.5 10.9 9.0 7.3 10.0

17F 6.1 14.6 11.8 9.7 8.5 6.8 10.3

자료: 하나금융투자

Page 58: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

58

NF3 수급 밸런스는 2017년에도 양호하게 지속

글로벌 NF3의 생산능력이 증가하고 있다. 2015년 19,050톤에서 2016년 22,300톤으로 증

가할 예정이다. SK머티리얼즈는 2015년말에 1,000톤을 증설하여 2016년 연초부터 증설분

이 반영되었다. 국내 업체인 효성은 2015년 2,000톤 생산능력에서 16년 하반기 1,250톤이

증가할 예정이며, 2017년 하반기에도 추가적으로 1,250이 추가될 전망이다. NF3의 공격적

인 증설에도 불구하고 현재에도 밸런스는 훼손되고 있지 않은 것으로 파악된다. NF3의 수요

가 전년대비 16% 증가해 증설분을 소화중인 것으로 추정된다. 2015년부터 2017년까지 수

요대비 생산능력이 10% 내외 많은 것으로 추정되는데, NF3에서 공급과잉률 10% 내외는

밸런스 수준이며, 15%를 초과하기 시작하면 공급과잉으로 파악된다. 2017년 글로벌 생산능

력은 전년대비 17% 증가할 것으로 파악되는데, 수요 또한 18% 증가해 현재 수준의 밸런스

가 유지될 것으로 판단한다. 3D NAND와 OLED의 생산능력이 증가해 NF3의 수요가 강해

지기 때문이다.

그림 1. NF3 수요 공급 및 공급과잉률

0%

5%

10%

15%

20%

25%

30%

0

5,000

10,000

15,000

20,000

25,000

30,000

2012 2013 2014 2015 2016F 2017F

공급 수요 공급과잉률 (우)(톤)

자료: 하나금융투자

그림 2. 3D NAND CAPA 증가 그림 3. OLED CAPA 증가

0

100

200

300

400

500

600

700

800

900

1,000

1Q14 3Q14 1Q15 3Q15 1Q16 3Q16F 1Q17F 3Q17F

3D NAND(천장/월)

0

200

400

600

800

1,000

1,200

1,400

1,600

1,800

1Q14 3Q14 1Q15 3Q15 1Q16 3Q16F 1Q17F 3Q17F

OLED 면적(1000m^2)

자료: 하나금융투자 자료: 하나금융투자

Page 59: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

59

사업 다각화 추진으로 NF3 의존도 축소 진행중

SK에어가스는 16년 2분기부터 연결기준 실적에 반영되고 있다. SK에어가스는 산업용 특수

가스를 제조하는 업체로 IT용 특수가스에 국한된 SK머티리얼즈 입장에서는 사업 다각화가

가능해졌다. 또한 지르코늄 계열의 전구체 양산을 제작하기 위한 회사로 일본의 Tri-

Chemical사와 35%:65%(SK머타리얼즈)의 지분으로 합작회사를 설립했다. 이는 증착 공정

에 필요한 소재로 WF6와 더불어 증착 및 배선 공정 진입을 의미한다. NF3는 반도체 및 디

스플레이 공정을 진행하는 Chamber를 세정하는 특수 가스다. 반도체와 디스플레이 공정

중에 절연막 또는 도전막을 입히는 CVD 공정이 진행된 후에 Chamber 내부 및 표면의 잔

률물을 제거해주는 것이다. 따라서 WF6의 매출 증대와 전구체 진입은 단순하게 아이템이

증가하는 것이 아닌 수요처를 확대한 것이기 때문에 긍정적으로 판단한다. NF3에 대한 매출

의존도는 2013년 79%에서 2015년 77%로 축소되었고, 2017년에는 65%까지 감소될 것으

로 추정한다.

그림 4. WF6 글로벌 CAPA 현황 및 전망 그림 5. 사업부별 매출액 추이

0

500

1,000

1,500

2,000

2,500

2014 2015 2016F 2017F

(톤)

0

100

200

300

400

500

600

13 14 15 16F 17F

NF3 WF6 Others(모노실란 포함) SK Air Gas(십억원)

자료: 하나금융투자 자료: 하나금융투자

Page 60: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

60

실적 전망: 이익의 양과 질이 모두 성장

SK머티리얼즈의 2016년 매출액과 영업이익은 4,575억원, 1,536억원으로 각각 전년대비

35%, 36% 증가할 전망이다. NF3의 수요 증가로 인해 수급 밸런스가 유지되면서 매출과

영업이익 모두 양호한 실적 달성이 가능할 것으로 판단된다. NF3 매출액은 전년대비 22%

증가할 것으로 추정되는데, WF6 매출 증가와 SK에어가스의 매출이 반영되기 시작하며 전

사에서 차지하는 비중이 69%로 전년대비 8%p 축소될 것이다.

2017년에도 NF3의 안정적인 매출 성장 속에 SK에어가스의 온기 반영과 WF6의 매출 증

대에 의한 실적 우상향 흐름이 유지될 것으로 전망한다. 앞서 언급했듯이 NF3에 대한 의

존도가 축소되면서 제품 및 사업의 다각화가 이루어지고 있어 이익의 양과 질이 동반 성장

할 것으로 판단된다. WF6의 증설은 2017년 하반기에 완료되기 때문에 2018년 외형성장

에 본격적으로 기여할 것으로 기대된다.

표 2. 실적 추이 (단위: 십억원)

1Q16 2Q16 3Q16F 4Q16F 1Q17F 2Q17F 3Q17F 4Q17F 2015 2016F 2017F

매출액 97.3 116.5 123.7 120.0 122.4 136.2 151.5 138.1 338.0 457.5 548.2

NF3 76.6 77.0 81.0 82.9 80.8 87.7 95.7 92.8 260.8 317.5 357.0

WF6 8.6 8.4 9.8 11.5 12.2 13.0 15.0 15.2 29.9 38.3 55.4

Others(모노실란 포함) 12.1 11.1 12.3 12.6 12.8 13.1 13.4 13.5 47.3 48.1 52.7

SK Air Gas 20.0 20.6 13.0 16.6 22.4 27.4 16.6 53.6 83.1

QoQ 0.4% 19.7% 6.2% -3.0% 2.0% 11.2% 11.3% 0.4%

NF3 1.1% 0.5% 5.1% 2.3% -2.5% 8.5% 9.1% 1.1%

WF6 4.6% -2.4% 16.4% 17.8% 6.1% 6.1% 16.2% 4.6%

Others(모노실란 포함) -6.2% -8.5% 11.2% 1.9% 1.6% 2.4% 2.4% -6.2%

SK Air Gas 3.0% -36.9% 27.8% 35.0% 22.2% -39.4%

영업이익 34.4 38.8 40.6 39.6 38.4 44.1 51.6 47.3 112.8 153.6 181.4

영업이익률 35% 33% 33% 33% 31% 32% 34% 34% 33% 34% 33%

자료: 하나금융투자

Page 61: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

61

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2014 2015 2016F 2017F 2018F 2014 2015 2016F 2017F 2018F

매출액 211.7 338.0 457.5 548.2 636.8 유동자산 118.6 165.2 183.5 244.5 252.1

매출원가 163.8 199.0 274.3 340.2 395.1 금융자산 24.3 41.7 30.6 68.5 47.6

매출총이익 47.9 139.0 183.2 208.0 241.7 현금성자산 17.6 41.4 30.4 68.2 47.3

판관비 21.4 26.2 29.7 26.7 29.7 매출채권 등 47.6 64.1 79.4 91.4 106.1

영업이익 26.4 112.8 153.6 181.4 212.0 재고자산 45.7 58.3 72.1 83.0 96.5

금융손익 (9.5) (16.2) (12.3) (14.5) (13.0) 기타유동자산 1.0 1.1 1.4 1.6 1.9

종속/관계기업손익 (0.6) (0.5) 0.0 0.0 0.0 비유동자산 471.2 499.9 659.4 710.2 760.6

기타영업외손익 1.1 (8.2) (0.6) 2.6 (0.9) 투자자산 1.9 0.4 0.5 0.6 0.6

세전이익 17.4 87.9 140.6 169.5 198.1 금융자산 0.3 0.4 0.5 0.6 0.6

법인세 3.8 21.8 36.2 45.1 52.7 유형자산 449.8 480.2 635.7 687.1 737.9

계속사업이익 13.6 66.2 104.4 124.3 145.3 무형자산 3.2 3.4 3.7 3.2 2.7

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 16.3 15.9 19.5 19.3 19.4

당기순이익 13.6 66.2 104.4 124.3 145.3 자산총계 589.8 665.1 842.9 954.8 1,012.7

비지배주주지분 순이익 (0.0) 0.0 2.3 3.5 4.1 유동부채 105.5 145.1 226.5 237.9 173.9

지배주주순이익 13.6 66.1 102.1 120.8 141.2 금융부채 62.7 72.9 144.7 146.1 69.6

지배주주지분포괄이익 11.4 64.0 101.2 120.7 141.0 매입채무 등 38.0 51.2 63.3 72.9 84.7

NOPAT 20.6 84.9 114.0 133.1 155.5 기타유동부채 4.8 21.0 18.5 18.9 19.6

EBITDA 76.3 168.5 219.8 250.6 281.6 비유동부채 161.5 143.6 186.6 189.7 193.4

성장성(%) 금융부채 157.2 127.3 166.5 166.5 166.5

매출액증가율 10.8 59.7 35.4 19.8 16.2 기타비유동부채 4.3 16.3 20.1 23.2 26.9

NOPAT증가율 881.0 312.1 34.3 16.8 16.8 부채총계 266.9 288.8 413.1 427.6 367.3

EBITDA증가율 22.1 120.8 30.4 14.0 12.4 지배주주지분 322.8 376.3 417.0 510.8 625.1

영업이익증가율 266.7 327.3 36.2 18.1 16.9 자본금 5.3 5.3 5.3 5.3 5.3

(지배주주)순익증가율 3,300.0 386.0 54.5 18.3 16.9 자본잉여금 67.7 67.7 34.2 34.2 34.2

EPS증가율 3,806.1 386.4 54.4 18.3 16.9 자본조정 (0.0) 0.0 (0.0) (0.0) (0.0)

수익성(%) 기타포괄이익누계액 1.0 1.8 1.0 1.0 1.0

매출총이익률 22.6 41.1 40.0 37.9 38.0 이익잉여금 248.8 301.4 376.6 470.4 584.6

EBITDA이익률 36.0 49.9 48.0 45.7 44.2 비지배주주지분 0.1 0.1 12.8 16.3 20.4

영업이익률 12.5 33.4 33.6 33.1 33.3 자본총계 322.9 376.4 429.8 527.1 645.5

계속사업이익률 6.4 19.6 22.8 22.7 22.8 순금융부채 195.7 158.6 280.6 244.1 188.4

투자지표 현금흐름표 (단위: 십억원)

2014 2015 2016F 2017F 2018F 2014 2015 2016F 2017F 2018F

주당지표(원) 영업활동 현금흐름 54.4 149.9 152.5 183.6 202.7

EPS 1,289 6,270 9,682 11,456 13,390 당기순이익 13.6 66.2 104.4 124.3 145.3

BPS 30,604 35,670 39,536 48,432 59,262 조정 58.8 94.0 65.1 69.3 69.7

CFPS 7,833 16,267 20,997 24,032 26,615 감가상각비 49.9 55.7 66.2 69.2 69.7

EBITDAPS 7,236 15,976 20,834 23,755 26,702 외환거래손익 0.1 3.1 0.5 0.0 0.0

SPS 20,069 32,047 43,376 51,974 60,376 지분법손익 0.6 3.4 0.0 0.0 0.0

DPS 1,000 2,560 2,560 2,560 2,560 기타 8.2 31.8 (1.6) 0.1 0.0

주가지표(배) 영업활동 자산부채 변동 (18.0) (10.3) (17.0) (10.0) (12.3)

PER 39.7 18.6 16.1 13.6 11.7 투자활동 현금흐름 (25.6) (83.2) (168.8) (120.1) (120.1)

PBR 1.7 3.3 3.9 3.2 2.6 투자자산감소(증가) 0.4 1.5 (0.1) (0.1) (0.1)

PCFR 6.5 7.2 7.4 6.5 5.9 유형자산감소(증가) (19.1) (87.0) (93.7) (120.0) (120.0)

EV/EBITDA 9.6 8.2 8.8 7.6 6.6 기타 (6.9) 2.3 (75.0) 0.0 0.0

PSR 2.6 3.6 3.6 3.0 2.6 재무활동 현금흐름 (29.3) (43.1) 5.4 (25.6) (103.5)

재무비율(%) 금융부채증가(감소) (23.1) (19.7) 110.9 1.4 (76.5)

ROE 4.3 18.9 25.7 26.0 24.9 자본증가(감소) 0.0 0.0 (33.5) 0.0 0.0

ROA 2.3 10.5 13.5 13.4 14.4 기타재무활동 (0.9) (12.9) (42.2) 0.0 0.0

ROIC 4.0 16.0 17.8 17.5 18.9 배당지급 (5.3) (10.5) (29.8) (27.0) (27.0)

부채비율 82.7 76.7 96.1 81.1 56.9 현금의 증감 (0.5) 23.8 (11.1) 37.8 (20.9)

순부채비율 60.6 42.1 65.3 46.3 29.2 Unlevered CFO 82.6 171.6 221.5 253.5 280.7

이자보상배율(배) 2.8 15.7 12.8 12.1 16.1 Free Cash Flow 23.4 62.7 58.7 63.6 82.7

자료: 하나금융투자

Page 62: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

62

2016년 11월 2일

원익IPS (240810)

장비 대장주

관심종목

Not Rated

CP(11월1일): 23,700원

Key Data Consensus Data

KOSDAQ 지수 (pt) 626.38 2016 2017

52주 최고/최저(원) 26,000/16,650 매출액(십억원) 337.7 525.8

시가총액(십억원) 978.2 영업이익(십억원) 48.5 101.3

시가총액비중(%) 0.50 순이익(십억원) 37.2 78.5

발행주식수(천주) 41,273.4 EPS(원) 829 1,910

60일 평균 거래량(천주) 349.7 BPS(원) 4,405 5,939

60일 평균 거래대금(십억원) 8.3

16년 배당금(예상,원) N/A Stock Price

16년 배당수익률(예상,%) N/A

70

90

110

130

150

170

15

17

19

21

23

25

27

16.5 16.8

(천원)원익IPS(좌)

상대지수(우)

외국인지분율(%) 22.27

주요주주 지분율(%)

원익홀딩스 외 5 인 33.32

삼성전자 외 1 인 8.97

주가상승률 1M 6M 12M

절대 (7.1) 0.0 0.0

상대 1.1 0.0 0.0

Financial Data

투자지표 단위 2011 2012 2013 2014 2015

매출액 십억원 340.4 348.7 423.0 557.2 647.4

영업이익 십억원 29.0 26.5 55.1 91.9 99.3

세전이익 십억원 28.7 22.7 52.4 76.8 96.1

순이익 십억원 17.9 7.9 27.4 46.8 61.8

EPS 원 258 108 373 581 768

증감률 % (67.2) (58.1) 245.4 55.8 32.2

PER 배 43.87 50.90 27.02 28.21 17.39

PBR 배 2.96 1.38 2.37 3.48 2.43

EV/EBITDA 배 17.39 9.34 9.03 10.18 7.81

ROE % 5.86 3.01 9.33 13.82 15.88

BPS 원 3,818 3,966 4,248 4,706 5,494

DPS 원 0 0 0 0 0

주: 위 값은 2016년 4월 분할 전 원익IPS(존속회사인 원익홀딩스)의 재무제표 입니다.

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

회사 개요

원익IPS는 반도체 증착 공정에 사용되는 CVD 장비와 디스플

레이 식각 공정 장비를 공급하는 업체이다. 주요 제품은 PE

CVD와 ALD장비와 같은 반도체 증착 공정 장비로 2015년 기

준 반도체장비의 매출 비중이 80%를 차지한다. 삼성전자가 주

요 고객사이며, SK하이닉스 및 해외고객사로 다각화가 진행

중이다. 16년 2분기 원익홀딩스와의 분할로 기존에 영위하던

가스 공급장치 사업은 실적에 인식되지 않으며, 지난 9월 계열

사인 테라세미콘과 12월에 합병할 것을 발표했다.

주요 관전포인트

1) 삼성전자 반도체 투자의 최대 수혜주: 삼성전자의 평택 공

장에 3D NAND 투자가 2017~2018년 월 10만장 규모로

집행될 것으로 예상된다. 원익IPS는 절역막, 금속막, 미세

층까지 증착 공정 다수에 장비를 공급할 것으로 기대되어

매출액 규모가 국내 동종업체보다 클 것으로 예상된다.

2) 중소형 OLED 투자 역시 기회: 삼성디스플레이가 16년 하

반기부터 중소형 OLED의 증설을 진행중인 것으로 파악된

다. 중국 업체의 OLED 채용 확대와 북미 스마트폰 업체의

OLED 탑재 가능성이 높아 수요가 급증할 것으로 전망된

다. 그에 따라 원익IPS의 디스플레이용 건식 식각장비에

대한 수주도 가능할 것으로 판단된다. 또한 봉지층 증착장

비 개발도 완료되어 고객사 공급이 가능할 것으로 기대된다.

3) 신규장비도 대기중: 메모리용 증착장비에서 그치지 않고 비

메모리용 증착장비에 대한 품질인증이 완료된 것으로 보여

진다. 비메모리향 진출과 신규 장비 공급을 통해 새로운 성

장동력을 확보하는 점도 긍정적으로 판단된다.

투자 판단

원익IPS의 컨센서스 기준 2016년 PER은 26.8배로 다소 높은

편이지만, 2017년 12.7배로 낮아진다. 이는 2017년 실적의

대폭 성장이 기대되기 때문인데, NAND 증착장비 및 OLED

장비 공급을 통해 실적 방향성에 대한 가시성은 높다. 반도체

투자 싸이클 안에서 매출액 규모의 차별화가 가능하기 때문에

프리미엄에 대한 당위성은 확보했다는 판단이다.

Page 63: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

63

표 1. 실적 추이 (단위: 십억원)

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 2014 2015

매출액 72.8 46.5 62.7 103.7 79.7 56.1 115.7 80.4 52.6 95.6 285.7 331.9

QoQ -19.7% -36.2% 34.9% 65.4% -23.2% -29.6% 106.1% -30.5% -34.7% 82.0%

YoY 135.6% 14.4% 14.8% 14.3% 9.4% 20.7% 84.5% -22.4% -34.0% 70.4% 31.7% 16.2%

영업이익 14.67 4.93 9.00 18.51 14.93 6.53 22.29 7.13 3.74 10.65 47.12 50.89

QoQ -31.3% -66.4% 82.7% 105.6% -19.4% -56.3% 241.3% -68.0% -47.6% 184.8%

YoY 흑전 208.0% 39.8% -13.3% 1.7% 32.6% 147.6% -61.5% -74.9% 63.0% 67% 8%

영업이익률 20.1% 10.6% 14.4% 17.9% 18.7% 11.6% 19.3% 8.9% 7.1% 11.1% 16.5% 15.3%

주: 표의 15년까지 실적값 분할전 원익IPS의 실적에 분할비율 0.5127을 곱하여 산출된 값입니다.

자료: 하나금융투자

그림 1. 경쟁사 현황 – CVD 장비 그림 2. 주요 제품 – CVD 장비

108

124128

0

500

1,000

1,500

2,000

2,500

3,000

3,500

4,000

4,500

5,000

13 14 15

Applied Materials Tokyo ElectronLam Research ASMHitachi 원익IPSOthers

(백만달러)

자료: 하나금융투자 자료: 하나금융투자

그림 3. 원익IPS 매출액 및 영업이익률 추이

0%

5%

10%

15%

20%

25%

0

20

40

60

80

100

120

140

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16

매출액 영업이익률(우)(십억원)

주: 표의 15년까지 실적값 분할전 원익IPS의 실적에 분할비율 0.5127을 곱하여 산출된 값입니다.

자료: 하나금융투자

Page 64: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

64

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

매출액 340.4 348.7 423.0 557.2 647.4 유동자산 279.3 217.3 275.7 293.4 239.0

매출원가 237.2 238.9 284.6 360.5 428.2 금융자산 131.9 121.3 116.0 120.6 91.6

매출총이익 103.2 109.8 138.4 196.7 219.2 현금성자산 82.8 68.1 94.1 102.1 82.3

판관비 74.2 83.4 83.3 104.9 119.9 매출채권 등 52.8 21.8 59.4 86.5 57.1

영업이익 29.0 26.5 55.1 91.9 99.3 재고자산 82.4 67.3 92.8 77.4 75.9

금융손익 (2.1) (3.5) 1.9 (7.5) 2.4 기타유동자산 12.2 6.9 7.5 8.9 14.4

종속/관계기업손익 0.0 0.0 0.0 0.0 0.0 비유동자산 213.4 260.6 314.5 365.6 399.0

기타영업외손익 1.7 (0.3) (4.5) (7.6) (5.5) 투자자산 46.1 45.3 82.4 111.1 109.7

세전이익 28.7 22.7 52.4 76.8 96.1 금융자산 18.5 15.6 31.6 38.3 35.6

법인세 10.3 4.8 15.1 19.7 23.1 유형자산 138.7 150.6 166.5 175.9 214.1

계속사업이익 18.4 17.9 37.4 57.1 73.0 무형자산 19.7 27.2 23.8 32.1 27.9

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 8.9 37.5 41.8 46.5 47.3

당기순이익 18.4 17.9 37.4 57.1 73.0 자산총계 492.7 477.9 590.1 658.9 658.5

비지배주주지분 순이익 0.5 10.0 9.9 10.3 11.2 유동부채 128.0 86.8 131.2 161.7 88.8

지배주주순이익 17.9 7.9 27.4 46.8 61.8 금융부채 31.3 36.0 21.4 35.7 23.7

지배주주지분포괄이익 17.0 7.1 28.2 44.4 62.2 매입채무 등 74.7 41.4 85.9 99.9 41.4

NOPAT 18.6 20.9 39.3 68.3 75.4 기타유동부채 22.0 9.4 23.9 26.1 23.7

EBITDA 39.7 38.9 72.2 113.4 123.9 비유동부채 55.7 55.7 55.2 41.5 29.3

성장성(%) 금융부채 40.4 40.2 34.9 17.8 2.6

매출액증가율 37.9 2.4 21.3 31.7 16.2 기타비유동부채 15.3 15.5 20.3 23.7 26.7

NOPAT증가율 (37.8) 12.4 88.0 73.8 10.4 부채총계 183.7 142.5 186.4 203.2 118.1

EBITDA증가율 (9.8) (2.0) 85.6 57.1 9.3 지배주주지분 254.2 268.1 320.0 357.0 421.0

영업이익증가율 (21.4) (8.6) 107.9 66.8 8.1 자본금 36.2 36.6 40.3 40.3 40.3

(지배주주)순익증가율 (32.2) (55.9) 246.8 70.8 32.1 자본잉여금 147.6 150.0 169.8 169.8 174.1

EPS증가율 (67.2) (58.1) 245.4 55.8 32.2 자본조정 (15.1) (11.1) (10.8) (18.3) (20.6)

수익성(%) 기타포괄이익누계액 1.0 1.5 1.7 1.2 2.0

매출총이익률 30.3 31.5 32.7 35.3 33.9 이익잉여금 84.5 91.2 119.2 164.0 225.3

EBITDA이익률 11.7 11.2 17.1 20.4 19.1 비지배주주지분 54.7 67.2 83.7 98.7 119.4

영업이익률 8.5 7.6 13.0 16.5 15.3 자본총계 308.9 335.3 403.7 455.7 540.4

계속사업이익률 5.4 5.1 8.8 10.2 11.3 순금융부채 (60.2) (45.1) (59.8) (67.1) (65.2)

투자지표 현금흐름표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

주당지표(원) 영업활동 현금흐름 (6.6) 44.1 63.9 89.1 73.5

EPS 258 108 373 581 768 당기순이익 18.4 17.9 37.4 57.1 73.0

BPS 3,818 3,966 4,248 4,706 5,494 조정 22.4 19.9 34.6 50.9 32.8

CFPS 719 684 1,083 1,492 1,606 감가상각비 10.7 12.4 17.1 21.5 24.7

EBITDAPS 573 534 983 1,408 1,540 외환거래손익 0.7 0.3 0.4 0.7 2.3

SPS 4,911 4,784 5,757 6,922 8,042 지분법손익 3.5 4.5 (1.5) 8.9 0.7

DPS 0 0 0 0 0 기타 7.5 2.7 18.6 19.8 5.1

주가지표(배) 영업활동 자산부채 변동 (47.4) 6.3 (8.1) (18.9) (32.3)

PER 43.9 50.9 27.0 28.2 17.4 투자활동 현금흐름 (47.7) (61.7) (45.0) (72.0) (64.2)

PBR 3.0 1.4 2.4 3.5 2.4 투자자산감소(증가) (23.3) 0.8 (37.1) (28.7) 1.4

PCFR 15.7 8.0 9.3 11.0 8.3 유형자산감소(증가) (36.9) (47.1) (35.8) (31.0) (60.1)

EV/EBITDA 17.4 9.3 9.0 10.2 7.8 기타 12.5 (15.4) 27.9 (12.3) (5.5)

PSR 2.3 1.1 1.8 2.4 1.7 재무활동 현금흐름 82.3 3.1 7.3 (9.5) (28.9)

재무비율(%) 금융부채증가(감소) 14.6 4.5 (20.0) (2.7) (27.2)

ROE 5.9 3.0 9.3 13.8 15.9 자본증가(감소) (142.7) 2.8 23.5 (0.0) 4.3

ROA 3.5 1.6 5.1 7.5 9.4 기타재무활동 210.4 (4.2) 3.8 (6.8) (6.0)

ROIC 6.8 9.0 16.0 25.6 24.2 배당지급 0.0 0.0 0.0 0.0 0.0

부채비율 59.5 42.5 46.2 44.6 21.9 현금의 증감 28.1 (14.7) 25.9 8.0 (19.8)

순부채비율 (19.5) (13.4) (14.8) (14.7) (12.1) Unlevered CFO 49.9 49.9 79.6 120.1 129.2

이자보상배율(배) 5.2 7.3 14.6 53.7 70.7 Free Cash Flow (64.0) (3.2) 12.2 57.9 11.9

주: 위 값은 2016년 4월 분할 전 원익IPS(존속회사인 원익홀딩스)의 재무제표 입니다

자료: 하나금융투자

Page 65: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

65

2016년 11월 2일

테스 (095610)

반도체에 OLED가 가세

관심종목

Not Rated

CP(11월1일): 21,650원

Key Data Consensus Data

KOSDAQ 지수 (pt) 624.68 2016 2017

52주 최고/최저(원) 22,650/7,101 매출액(십억원) 153.2 175.2

시가총액(십억원) 401.5 영업이익(십억원) 28.9 36.1

시가총액비중(%) 0.20 순이익(십억원) 26.5 31.4

발행주식수(천주) 18,717.5 EPS(원) 1,448 1,678

60일 평균 거래량(천주) 310.4 BPS(원) 6,899 8,334

60일 평균 거래대금(십억원) 6.4

16년 배당금(예상,원) 210 Stock Price

16년 배당수익률(예상,%) 0.99

70

120

170

220

270

320

370

6

11

16

21

26

15.10 16.1 16.4 16.7 16.10

(천원)테스(좌)

상대지수(우)

외국인지분율(%) 8.63

주요주주 지분율(%)

주숭일 외 11 인 29.72

베어링자산운용 5.77

주가상승률 1M 6M 12M

절대 (5.3) 57.1 177.3

상대 3.3 76.0 203.5

Financial Data

투자지표 단위 2011 2012 2013 2014 2015

매출액 십억원 0.0 0.0 67.1 109.7 100.3

영업이익 십억원 0.0 0.0 6.2 16.3 9.5

세전이익 십억원 0.0 0.0 4.0 15.6 13.9

순이익 십억원 0.0 0.0 5.0 14.7 12.6

EPS 원 0 0 328 943 772

증감률 % N/A N/A N/A 187.5 (18.1)

PER 배 N/A N/A 23.77 12.21 13.12

PBR 배 N/A N/A 1.82 2.23 1.75

EV/EBITDA 배 N/A N/A 11.21 7.31 9.92

ROE % N/A N/A 15.51 19.89 14.11

BPS 원 0 0 4,296 5,166 5,781

DPS 원 80 0 80 160 210

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

회사 개요

테스는 반도체 전공정 중 증착 공정에 사용되는 CVD 장비를

공급하는 업체이다. 2015년 매출 기준 PE CVD 장비의 매출

이 73%로 가장 큰 비중을 차지한다. 주요 제품은 삼성전자와

SK하이닉스 양사에 모두 납품중이며, 2015년 기준 고객사 비

중은 삼성전자가 65%, SK하이닉스가 25%를 차지하는 것으로

파악된다.

주요 관전포인트

1) 반도체 증착장비 모멘텀은 유효: 테스의 PE CVD 증착 장

비는 3D NAND 증설에 의한 수혜가 강할 것으로 예상된

다. 국내 고객사들의 3D NAND 투자가 2017년 연중으로

진행될 것으로 예상되고, 2018년까지도 지속될 가능성이

높아 기존 주력 장비의 매출액은 지속적으로 증가할 것으로

판단된다.

2) OLED 봉지층 증착장비 공급 시작: 중국 패널업체인

Everdisplay와 CSOT로부터 OLED 봉지층 증착장비를 수

주했다. 봉지층 증착은 OLED 전공정 장비 중에서도 난이

도가 있는 영역으로 국내에서는 원익IPS, 주성엔지니어링

이후에 테스가 개발에 성공한 것으로 파악된다. 아직은 미

미한 수준이지만, 반도체 증착장비보다 규모가 큰 장비이기

때문에 단가가 높아 향후에는 외형성장에 기여할 것으로 기

대된다.

3) 식각장비 공급은 신성장동력: 식각장비는 국내 장비 업체들

의 진출이 가장 더딘 분야이다. 테스는 3D NAND향 식각

장비의 국산화에 성공한 것으로 파악된다. 아이템의 다변화

로 인해 실적 안정성 높아질 것으로 기대되며, 밸류에이션

할증요소로 작용할 가능성도 높다는 판단이다.

투자 판단

테스의 컨센서스 기준 2016년과 2017년 PER은 각각 14.9배,

12.9배로 국내 동종업체 평균인 13.3배, 11.1배보다 낮은 수

준이다. 다만, 기존 장비의 성장뿐만 아니라 국산화가 어려운

식각장비의 개발에 성공했고, OLED 봉지층 증착장비 공급도

이루어지고 있어 밸류에이션 프리미엄 요소는 확보했다는 판단

이다. 조정시 매수 전략을 권고한다.

Page 66: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

66

표 1. 실적 추이 (단위: 십억원)

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 2014 2015

매출액 53.5 23.2 9.6 23.4 26.9 28.0 36.1 9.4 45.7 35.0 109.7 100.35

QoQ 67.9% -56.7% -58.7% 144.9% 14.6% 4.4% 28.7% -74.1% 389.0% -23.5%

YoY 435.5% 61.7% -12.0% -26.4% -49.8% 21.0% 277.1% -60.1% 70.2% 24.8% 64% -9%

영업이익 11.7 3.4 -0.7 2.0 3.7 4.1 5.5 -3.8 10.3 6.2 16.33 9.47

QoQ 94.7% -71.1% 적전 흑전 85.0% 11.6% 32.0% 적전 흑전 -40.1%

YoY 흑전 1673.7% 적전 -66.6% -68.3% 22.6% 흑전 적전 177.6% 48.9% 160% -42%

영업이익률 21.8% 14.5% -7.3% 8.5% 13.8% 14.7% 15.1% -40.7% 22.5% 17.6% 14.9% 9.4%

자료: 하나금융투자

그림 1. 매출 비중 그림 2. 주요 제품 – PECVD

반도체

79%

AMOLED

LED

9%

기타

12%

자료: 하나금융투자 자료: 하나금융투자

그림 3. 경쟁사 현황 – PECVD 그림 4. Valuation

28

73

68

0

200

400

600

800

1,000

1,200

1,400

1,600

1,800

2,000

13 14 15

Applied Materials Lam Research원익IPS 테스ASM SPTS유진테크 기타

(백만달러)

0

5,000

10,000

15,000

20,000

25,000

08 09 10 11 12 13 14 15 16

수정주가 1.6x 1.3x

1.0x 0.7x 0.5x

(원)

자료: 하나금융투자 자료: 하나금융투자

Page 67: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

67

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

매출액 0.0 0.0 67.1 109.7 100.3 유동자산 0.0 0.0 50.7 70.5 79.5

매출원가 0.0 0.0 52.5 82.6 79.5 금융자산 0.0 0.0 20.5 45.2 42.3

매출총이익 0.0 0.0 14.6 27.1 20.8 현금성자산 0.0 0.0 14.0 13.4 11.2

판관비 0.0 0.0 8.3 10.7 11.4 매출채권 등 0.0 0.0 12.7 11.2 13.8

영업이익 0.0 0.0 6.2 16.3 9.5 재고자산 0.0 0.0 16.5 13.8 21.5

금융손익 0.0 0.0 0.2 2.3 5.2 기타유동자산 0.0 0.0 1.0 0.3 1.9

종속/관계기업손익 0.0 0.0 (0.8) (0.6) 0.0 비유동자산 0.0 0.0 40.9 40.1 41.1

기타영업외손익 0.0 0.0 (1.7) (2.4) (0.8) 투자자산 0.0 0.0 7.2 5.3 5.3

세전이익 0.0 0.0 4.0 15.6 13.9 금융자산 0.0 0.0 6.4 4.7 4.6

법인세 0.0 0.0 (1.0) 0.9 1.5 유형자산 0.0 0.0 20.3 21.1 22.3

계속사업이익 0.0 0.0 5.0 14.7 12.5 무형자산 0.0 0.0 9.5 8.6 8.7

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 0.0 0.0 3.9 5.1 4.8

당기순이익 0.0 0.0 5.0 14.7 12.5 자산총계 0.0 0.0 91.6 110.6 120.6

비지배주주지분 순이익 0.0 0.0 0.0 (0.1) (0.1) 유동부채 0.0 0.0 12.5 24.6 24.0

지배주주순이익 0.0 0.0 5.0 14.7 12.6 금융부채 0.0 0.0 0.0 9.5 11.0

지배주주지분포괄이익 0.0 0.0 5.6 14.1 12.0 매입채무 등 0.0 0.0 11.2 13.0 10.7

NOPAT N/A N/A 7.7 15.3 8.5 기타유동부채 0.0 0.0 1.3 2.1 2.3

EBITDA 0.0 0.0 9.9 20.6 13.2 비유동부채 0.0 0.0 14.9 2.0 2.4

성장성(%) 금융부채 0.0 0.0 13.3 0.0 1.0

매출액증가율 N/A N/A N/A 63.5 (8.6) 기타비유동부채 0.0 0.0 1.6 2.0 1.4

NOPAT증가율 N/A N/A N/A 98.7 (44.4) 부채총계 0.0 0.0 27.3 26.6 26.5

EBITDA증가율 N/A N/A N/A 108.1 (35.9) 지배주주지분 0.0 0.0 64.2 83.9 94.1

영업이익증가율 N/A N/A N/A 162.9 (41.7) 자본금 0.0 0.0 4.8 5.1 5.1

(지배주주)순익증가율 N/A N/A N/A 194.0 (14.3) 자본잉여금 0.0 0.0 30.0 35.3 35.5

EPS증가율 N/A N/A N/A 187.5 (18.1) 자본조정 0.0 0.0 (0.3) 0.3 0.0

수익성(%) 기타포괄이익누계액 0.0 0.0 (0.1) 0.0 (0.2)

매출총이익률 N/A N/A 21.8 24.7 20.7 이익잉여금 0.0 0.0 29.8 43.1 53.5

EBITDA이익률 N/A N/A 14.8 18.8 13.2 비지배주주지분 0.0 0.0 0.0 0.1 0.1

영업이익률 N/A N/A 9.2 14.9 9.5 자본총계 0.0 0.0 64.2 84.0 94.2

계속사업이익률 N/A N/A 7.5 13.4 12.5 순금융부채 0.0 0.0 (7.1) (35.7) (30.3)

투자지표 현금흐름표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

주당지표(원) 영업활동 현금흐름 0.0 0.0 (7.1) 26.3 (3.0)

EPS 0 0 328 943 772 당기순이익 0.0 0.0 5.0 14.7 12.5

BPS 0 0 4,296 5,166 5,781 조정 0.0 0.0 7.7 8.2 (1.1)

CFPS 0 0 814 1,354 837 감가상각비 0.0 0.0 3.7 4.3 3.8

EBITDAPS 0 0 652 1,320 814 외환거래손익 0.0 0.0 0.0 0.0 0.2

SPS 0 0 4,416 7,020 6,172 지분법손익 0.0 0.0 0.8 0.6 (0.0)

DPS 80 0 80 160 210 기타 0.0 0.0 3.2 3.3 (5.1)

주가지표(배) 영업활동 자산부채 변동 0.0 0.0 (19.8) 3.4 (14.4)

PER N/A N/A 23.8 12.2 13.1 투자활동 현금흐름 0.0 0.0 (4.9) (29.9) (2.6)

PBR N/A N/A 1.8 2.2 1.8 투자자산감소(증가) 0.0 0.0 (7.0) 1.8 0.1

PCFR N/A N/A 9.6 8.5 12.1 유형자산감소(증가) 0.0 0.0 (1.1) (1.5) (2.4)

EV/EBITDA N/A N/A 11.2 7.3 9.9 기타 0.0 0.0 3.2 (30.2) (0.3)

PSR N/A N/A 1.8 1.6 1.6 재무활동 현금흐름 0.0 0.0 5.6 2.9 3.4

재무비율(%) 금융부채증가(감소) 0.0 0.0 13.4 (3.9) 2.5

ROE N/A N/A 15.5 19.9 14.1 자본증가(감소) 0.0 0.0 34.8 5.7 0.2

ROA N/A N/A 10.9 14.6 10.9 기타재무활동 0.0 0.0 (42.6) 1.9 2.3

ROIC N/A N/A 31.4 33.4 16.8 배당지급 0.0 0.0 0.0 (0.8) (1.6)

부채비율 N/A N/A 42.6 31.7 28.1 현금의 증감 0.0 0.0 (6.4) (0.7) (2.2)

순부채비율 N/A N/A (11.0) (42.5) (32.2) Unlevered CFO 0.0 0.0 12.4 21.1 13.6

이자보상배율(배) N/A N/A 7.5 14.8 15.6 Free Cash Flow 0.0 0.0 (8.2) 24.8 (5.5)

자료: 하나금융투자

Page 68: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

68

2016년 11월 2일

유진테크 (084370)

국내 유일한 싱글타입 LP CVD장비 업체

관심종목

Not Rated

CP(11월1일): 19,250원

Key Data Consensus Data

KOSDAQ 지수 (pt) 624.68 2016 2017

52주 최고/최저(원) 22,200/11,330 매출액(십억원) 153.2 173.4

시가총액(십억원) 445.7 영업이익(십억원) 40.7 49.1

시가총액비중(%) 0.23 순이익(십억원) 34.7 41.1

발행주식수(천주) 22,916.0 EPS(원) 1,494 1,811

60일 평균 거래량(천주) 269.3 BPS(원) 9,415 10,927

60일 평균 거래대금(십억원) 5.4

16년 배당금(예상,원) 200 Stock Price

16년 배당수익률(예상,%) 1.07

70

90

110

130

150

170

190

210

10

12

14

16

18

20

22

24

15.10 16.1 16.4 16.7 16.10

(천원)유진테크(좌)

상대지수(우)

외국인지분율(%) 34.38

주요주주 지분율(%)

엄평용 외 15 인 37.91

주가상승률 1M 6M 12M

절대 1.0 35.5 71.7

상대 10.2 51.8 87.9

Financial Data

투자지표 단위 2011 2012 2013 2014 2015

매출액 십억원 130.8 168.3 106.4 78.4 94.7

영업이익 십억원 37.8 52.8 30.5 12.3 18.6

세전이익 십억원 33.6 63.0 47.0 15.7 20.9

순이익 십억원 25.7 50.5 38.3 15.5 20.4

EPS 원 1,122 2,203 1,673 678 892

증감률 % N/A 96.3 (24.1) (59.5) 31.6

PER 배 12.51 5.90 9.55 23.27 14.57

PBR 배 4.24 2.34 2.25 2.07 1.55

EV/EBITDA 배 6.11 2.92 7.38 16.20 6.90

ROE % 68.83 50.67 26.96 9.36 11.53

BPS 원 3,312 5,555 7,095 7,616 8,363

DPS 원 150 150 150 150 200

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

회사 개요

유진테크는 반도체 전공정 중 증착 공정에 사용되는 장비를 공

급하는 업체이다. 주요 장비는 싱글타입 LP CVD와 플라즈마

트리트먼트 장비이며, 플라즈마 트리트먼트 장비는 증착막의

퀄리티 개선에 주로 사용된다. 삼성전자와 SK하이닉스에 장비

를 공급중이다.

주요 관전포인트

1) 싱글타입 LP CVD로 차별화: 유진테크는 싱글타입의 LP

CVD 장비를 제조하는 국내 유일한 업체이다. 싱글타입은

단시간에 한장씩 증착을 진행하기 때문에 생산성은 떨어지

지만, 균일도 측면에서 우수하다. 미세공정이 확대될수록

싱글타입에 대한 수요가 강해질 것으로 예상되어 동사의

수혜가 예상된다.

2) ALD 장비는 신규 성장동력: 플라즈마를 이용해 원자 단위

로 증착시키는 ALD장비는 균일도가 높지만 시간이 소요된

다는 단점이 있다. 다만, 미세공정 특히 3D NAND에는 필

수적으로 필요한 장비이다. 유진테크는 현재 고객사와 함께

2~3년간 개발 진행중이며, 2017년에 양산할 것으로 기대

된다.

3) 자회사 턴어라운드: 2013년에 인수한 유진테크 머티리얼즈

는 게르마늄 관련된 프리커서를 제조하는 업체이다. 아직은

매출액 미미하지만 매년 성장이 잰행중이다. 15년 매출

2~3억원에서 16년 10억원 달성해 손익분기점에 도달할

것으로 추정된다. 2017년 이후에는 이익에 기여 가능할 것

으로 전망된다.

투자 판단

국내 반도체 업체들의 3D NAND 투자가 진행될 것으로 예상

되는 바 국내 유일한 장비를 공급하는 유진테크의 수혜가 예상

된다. 유진테크의 2016년 및 2017년 컨센서스 기준 PER은

12.0배, 10.0배로 국내 동종업체 평균인 13.3배, 11.1배보다

낮은 수준이다. 무차입금 경영을 통한 재무안전성과 꾸준히 지

금중인 배당금을 고려하면 투자 매력도 낮지 않다는 판단이다.

Page 69: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

69

표 1. 실적 추이 (단위: 십억원)

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 2014 2015

매출액 41.6 11.0 10.8 14.9 16.8 31.8 32.9 13.2 54.0 40.7 78.4 94.6

LPCVD 36.4 8.1 5.3 8.4 11.1 8.3 14.6 3.2 23.7 17.7 58.2 37.20

Plasma 2.5 0.0 2.1 3.3 3.2 21.3 15.2 6.4 27.7 20.6 7.9 46.10

기타 2.7 2.9 3.4 3.2 2.4 2.2 3.1 2.5 2.7 2.5 12.2 10.20

영업이익 11.6 0.8 0.3 -0.3 2.4 7.7 8.7 -0.2 14.9 11.6 12.3 18.6

QoQ 14.9% -93.5% -64.5% 적전 흑전 215.2% 12.9% 적전 흑전 -22.1%

YoY 72.4% -91.5% -94.3% 적전 -79.0% 911.8% 3114.8% 적지 510.7% 51.0% -60% 51%

영업이익률 28.0% 6.9% 2.5% -2.3% 14.5% 24.2% 26.4% -1.8% 27.6% 28.5% 15.7% 19.6%

자료: 하나금융투자

그림 1. 매출 비중 그림 2. 주요 제품 – 플라즈마 장비

LPCVD

40%

Plasma

49%

기타

11%

자료: 하나금융투자 자료: 하나금융투자

그림 3. 경쟁사 현황 - LP CVD 장비 그림 4. Valuation

44

2730

0

100

200

300

400

500

600

700

13 14 15

Tokyo Electron Lam Research Applied Materials 유진테크(백만달러)

0

5,000

10,000

15,000

20,000

25,000

30,000

35,000

40,000

45,000

08 09 10 11 12 13 14 15 16

수정주가 3.6x 2.9x

2.2x 1.5x 0.8x

(원)

자료: 하나금융투자 자료: 하나금융투자

Page 70: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

70

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

매출액 130.8 168.3 106.4 78.4 94.7 유동자산 110.3 138.0 157.1 141.7 149.6

매출원가 81.6 95.9 54.2 41.1 50.3 금융자산 73.2 124.4 123.6 121.3 138.5

매출총이익 49.2 72.4 52.2 37.3 44.4 현금성자산 30.1 40.0 44.5 32.1 42.7

판관비 11.4 19.6 21.7 24.9 25.8 매출채권 등 26.1 7.0 20.5 12.6 1.9

영업이익 37.8 52.8 30.5 12.3 18.6 재고자산 10.7 5.8 8.1 6.8 8.1

금융손익 1.4 3.2 3.2 2.8 2.2 기타유동자산 0.3 0.8 4.9 1.0 1.1

종속/관계기업손익 0.0 (0.4) (0.8) (0.4) 0.0 비유동자산 23.3 26.5 40.6 49.3 52.0

기타영업외손익 (5.6) 7.3 14.2 1.0 0.1 투자자산 0.8 2.5 2.5 2.1 2.6

세전이익 33.6 63.0 47.0 15.7 20.9 금융자산 0.8 1.3 2.1 2.1 2.6

법인세 7.9 12.8 9.2 0.8 0.8 유형자산 11.7 16.1 33.4 35.9 36.8

계속사업이익 25.7 50.2 37.9 15.0 20.1 무형자산 2.6 4.1 4.1 9.1 10.4

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 8.2 3.8 0.6 2.2 2.2

당기순이익 25.7 50.2 37.9 15.0 20.1 자산총계 133.6 164.5 197.7 191.0 201.6

비지배주주지분 순이익 0.0 (0.3) (0.5) (0.6) (0.3) 유동부채 56.8 38.8 35.2 16.3 15.6

지배주주순이익 25.7 50.5 38.3 15.5 20.4 금융부채 1.4 0.2 0.8 0.3 0.0

지배주주지분포괄이익 25.5 50.5 38.4 15.0 20.4 매입채무 등 20.1 8.1 23.3 10.4 11.6

NOPAT 28.9 42.1 24.5 11.7 17.9 기타유동부채 35.3 30.5 11.1 5.6 4.0

EBITDA 39.0 56.1 31.6 14.3 22.3 비유동부채 2.0 0.7 1.1 1.4 2.1

성장성(%) 금융부채 0.0 0.0 0.0 0.0 0.0

매출액증가율 N/A 28.7 (36.8) (26.3) 20.8 기타비유동부채 2.0 0.7 1.1 1.4 2.1

NOPAT증가율 N/A 45.7 (41.8) (52.2) 53.0 부채총계 58.8 39.5 36.3 17.8 17.7

EBITDA증가율 N/A 43.8 (43.7) (54.7) 55.9 지배주주지분 74.7 124.6 159.8 172.2 182.6

영업이익증가율 N/A 39.7 (42.2) (59.7) 51.2 자본금 6.6 10.2 10.5 10.9 11.2

(지배주주)순익증가율 N/A 96.5 (24.2) (59.5) 31.6 자본잉여금 6.0 5.3 4.9 4.7 4.4

EPS증가율 N/A 96.3 (24.1) (59.5) 31.6 자본조정 (1.2) (2.8) (2.8) (2.4) (9.0)

수익성(%) 기타포괄이익누계액 0.0 (0.0) (0.0) 0.0 (0.0)

매출총이익률 37.6 43.0 49.1 47.6 46.9 이익잉여금 63.3 111.8 147.1 159.0 176.1

EBITDA이익률 29.8 33.3 29.7 18.2 23.5 비지배주주지분 0.0 0.5 1.6 1.0 1.3

영업이익률 28.9 31.4 28.7 15.7 19.6 자본총계 74.7 125.1 161.4 173.2 183.9

계속사업이익률 19.6 29.8 35.6 19.1 21.2 순금융부채 (71.7) (124.2) (122.8) (121.0) (138.5)

투자지표 현금흐름표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

주당지표(원) 영업활동 현금흐름 44.0 60.8 22.3 10.4 35.6

EPS 1,122 2,203 1,673 678 892 당기순이익 25.7 50.2 37.9 15.0 20.1

BPS 3,312 5,555 7,095 7,616 8,363 조정 31.5 14.0 (7.9) 3.1 9.1

CFPS 2,527 3,296 1,696 838 1,200 감가상각비 1.2 3.3 1.2 1.9 3.7

EBITDAPS 1,701 2,450 1,380 622 971 외환거래손익 0.1 0.1 0.2 0.0 0.0

SPS 5,709 7,344 4,642 3,420 4,131 지분법손익 4.7 0.4 0.8 0.4 0.0

DPS 150 150 150 150 200 기타 25.5 10.2 (10.1) 0.8 5.4

주가지표(배) 영업활동 자산부채 변동 (13.2) (3.4) (7.7) (7.7) 6.4

PER 12.5 5.9 9.5 23.3 14.6 투자활동 현금흐름 (50.0) (49.7) (13.7) (19.8) (15.3)

PBR 4.2 2.3 2.3 2.1 1.6 투자자산감소(증가) (0.8) (1.3) 0.9 0.8 (0.4)

PCFR 5.6 3.9 9.4 18.8 10.8 유형자산감소(증가) (4.4) (5.3) (18.2) (4.2) (2.9)

EV/EBITDA 6.1 2.9 7.4 16.2 6.9 기타 (44.8) (43.1) 3.6 (16.4) (12.0)

PSR 2.5 1.8 3.4 4.6 3.1 재무활동 현금흐름 (0.9) (1.2) (4.2) (3.1) (9.7)

재무비율(%) 금융부채증가(감소) 1.4 (1.2) 0.6 (0.5) (0.3)

ROE 68.8 50.7 27.0 9.4 11.5 자본증가(감소) 12.6 2.9 (0.1) 0.1 (0.0)

ROA 38.5 33.9 21.2 8.0 10.4 기타재무활동 (13.6) (0.9) (1.7) 0.4 (6.2)

ROIC 181.6 149.5 68.6 22.9 35.1 배당지급 (1.3) (2.0) (3.0) (3.1) (3.2)

부채비율 78.7 31.5 22.5 10.3 9.6 현금의 증감 (7.0) 9.9 4.5 (12.4) 10.6

순부채비율 (96.0) (99.3) (76.1) (69.9) (75.3) Unlevered CFO 57.9 75.5 38.9 19.2 27.5

이자보상배율(배) 2,891.2 N/A N/A 6,631.3 32,751.3 Free Cash Flow 39.5 55.6 4.1 6.3 32.7

자료: 하나금융투자

Page 71: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

71

2016년 11월 2일

케이씨텍 (029460)

가능성은 열려 있다

관심종목

Not Rated

CP(11월1일): 14,300원

Key Data Consensus Data

KOSPI 지수 (pt) 2,043.63 2016 2017

52주 최고/최저(원) 18,150/8,890 매출액(십억원) 455.5 506.3

시가총액(십억원) 572.7 영업이익(십억원) 61.5 71.1

시가총액비중(%) 0.05 순이익(십억원) 56.9 66.1

발행주식수(천주) 33,393.4 EPS(원) 1,640 1,913

60일 평균 거래량(천주) 145.2 BPS(원) 10,229 11,820

60일 평균 거래대금(십억원) 2.4

16년 배당금(예상,원) 150 Stock Price

16년 배당수익률(예상,%) 0.88

60

80

100

120

140

160

180

8

10

12

14

16

18

20

15.9 15.12 16.3 16.6 16.9

(천원)케이씨텍(좌)

상대지수(우)

외국인지분율(%) 20.14

주요주주 지분율(%)

고석태 외 3 인 39.02

KB자산운용 10.29

주가상승률 1M 6M 12M

절대 8.5 16.3 60.3

상대 8.3 13.9 53.9

Financial Data

투자지표 단위 2011 2012 2013 2014 2015

매출액 십억원 261.2 171.6 284.1 357.0 435.5

영업이익 십억원 13.0 8.9 30.9 31.2 53.7

세전이익 십억원 18.6 13.9 33.5 34.2 61.3

순이익 십억원 15.3 11.3 26.0 25.8 42.9

EPS 원 459 338 778 771 1,284

증감률 % (39.0) (26.4) 130.2 (0.9) 66.5

PER 배 11.39 10.95 6.58 10.41 8.84

PBR 배 0.91 0.61 0.76 1.07 1.31

EV/EBITDA 배 6.83 4.69 2.84 4.74 4.41

ROE % 8.47 5.78 12.36 11.07 16.27

BPS 원 5,730 6,024 6,777 7,486 8,675

DPS 원 30 20 70 100 150

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

회사 개요

케이씨텍은 반도체와 디스플레이의 CMP(연마) 및 세정공정에

사용되는 장비를 공급하는 업체이다. 주요 제품은 반도체 웨이

퍼 CMP장비와 소재인 세리아 슬러리로 2015년 매출 비중

33.6%를 차지했다. 삼성전자로 CMP장비를 납품중이며, 슬러

리는 삼성전자, SK하이닉스, 동부하이텍으로 공급하고 있다.

연결대상 회사인 KPC는 반도체 공정용 Gas Scrubber 사업을

영위 중이며, 반도체 소재 업체인 TCK, 가스 펌프 업체인

KKTech를 지분법수익으로 인식한다.

주요 관전포인트

1) NAND용 CMP장비 공급 여부: 2017년 국내 반도체 업체

들의 3D NAND 투자에 따라 증착장비 업체들의 수혜가 예

상된다. 케이씨텍은 DRAM용 CMP장비가 주력 제품이기

때문에 상대적으로 소외를 받고 있는 것으로 분석된다. 16

년 하반기 SK하이닉스향 공급을 통해 매출처 다변화에 성

공했다. 현재 NAND향 공급을 위해 품질인증이 진행중인

것으로 파악된다. 국내에서 유일의 CMP장비 업체이기 때

문에 고객사의 국산화 트렌드에 따라 케이씨텍에게 기회가

제공될 것으로 기대된다. NAND용 진출 여부가 중요한 변

곡점이 될 것으로 판단된다.

2) 슬러리의 영역 확대 여부: 케이씨텍은 현재 세리아 슬러리

가 주력 제품이다. 제품 다변화를 위해 텅스텐 슬러리에 대

한 고객사 품질인증이 진행중인 것으로 파악된다. 텅스텐,

구리 등의 메탈 계열 슬러리는 DRAM보다 NAND에서의

사용량이 많다. 따라서 텅스텐 슬러리 공급이 이루어진다

면, 3D NAND의 투자 수혜를 입을 것으로 기대된다.

투자 판단

케이씨텍은 DRAM용 CMP장비가 주력 제품이기 때문에 2017

년~2018년 국내 고객사의 3D NAND 투자에서 소외중이다.

다만, 컨센서스 기준 PER이 16년 9.3배, 17년 8.4배로 낮아

밸류에이션 부담은 없다는 판단이다. NAND 투자의 수혜가 가

능한 CAM장비와 텅스텐 슬러리의 품질인증이 이루어진다면

저평가 국면에서 벗어날 것으로 기대된다.

Page 72: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

72

표 1. 실적 추이 (단위: 십억원)

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 2014 2015

매출액 78.1 75.2 90.8 112.9 94.5 97.4 118.2 125.4 89.7 93.8 357.0 435.5

반도체장비 29.9 34.0 32.7 30.9 35.8 30.4 41.5 38.6 25.9 27.4 127.5 146.3

디스플레이장비 14.4 8.3 22.1 26.2 15.0 21.6 40.2 33.6 24.1 29.6 71.0 110.4

소재 8.1 9.7 9.6 11.8 11.3 12.6 15.7 15.2 15.5 14.0 39.2 54.8

기타/관계사 25.7 23.2 26.4 44.0 33.4 32.8 20.8 38.0 24.2 22.8 119.3 125.0

영업이익 5.0 5.4 5.7 15.1 8.3 10.5 13.6 21.4 12.0 13.2 31.2 53.8

QoQ -64.1% 8.4% 4.2% 166.0% -44.9% 25.9% 30.2% 56.8% -44.0% 10.4%

YoY 143.7% -1.6% -39.2% 7.8% 65.5% 92.3% 140.2% 41.6% 43.9% 26.2% 1% 72%

영업이익률 6.4% 7.2% 6.2% 13.4% 8.8% 10.7% 11.5% 17.0% 13.3% 14.1% 8.7% 12.3%

자료: 케이씨텍, 하나금융투자

그림 1. 매출 비중 그림 2. 주요 제품 – CMP 장비

반도체장비

33%

디스플레이

장비

25%

소재

13%

기타/관계사

29%

자료: 케이씨텍, 하나금융투자 자료: 케이씨텍

그림 3. 경쟁사 현황 – CMP 장비 그림 4. Valuation

10

32

25

0

100

200

300

400

500

600

700

800

900

1,000

13 14 15

Applied Materials Ebara KC Tech Tokyo Seimitsu(백만달러)

0

2,000

4,000

6,000

8,000

10,000

12,000

14,000

16,000

18,000

20,000

08 09 10 11 12 13 14 15 16

수정주가 1.6x 1.3x

1.0x 0.7x 0.5x

(원)

자료: Gartner, 하나금융투자 자료: Quantiwize, 하나금융투자

Page 73: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

73

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

매출액 261.2 171.6 284.1 357.0 435.5 유동자산 139.2 123.8 191.0 231.0 262.1

매출원가 218.2 129.5 218.8 286.4 331.0 금융자산 50.5 56.3 80.6 103.4 125.2

매출총이익 43.0 42.1 65.3 70.6 104.5 현금성자산 22.2 12.1 57.3 41.8 37.4

판관비 29.9 33.2 34.4 39.4 50.8 매출채권 등 71.4 48.9 88.6 98.9 113.6

영업이익 13.0 8.9 30.9 31.2 53.7 재고자산 16.8 16.6 17.5 24.5 20.4

금융손익 0.9 3.2 1.1 1.9 2.7 기타유동자산 0.5 2.0 4.3 4.2 2.9

종속/관계기업손익 4.4 2.3 2.2 2.0 4.4 비유동자산 113.3 114.5 114.9 121.4 141.7

기타영업외손익 0.2 (0.4) (0.6) (0.9) 0.4 투자자산 44.6 45.2 38.9 42.8 47.4

세전이익 18.6 13.9 33.5 34.2 61.3 금융자산 11.4 10.2 10.9 13.0 13.5

법인세 4.7 2.7 7.4 8.6 15.6 유형자산 54.9 57.3 63.0 65.4 75.5

계속사업이익 13.8 11.2 26.2 25.6 45.7 무형자산 10.1 8.8 9.6 8.7 8.0

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 3.7 3.2 3.4 4.5 10.8

당기순이익 13.8 11.2 26.2 25.6 45.7 자산총계 252.5 238.3 305.9 352.4 403.9

비지배주주지분 순이익 (1.5) (0.0) 0.2 (0.1) 2.8 유동부채 47.0 25.5 61.1 79.6 89.5

지배주주순이익 15.3 11.3 26.0 25.8 42.9 금융부채 5.0 0.0 0.0 1.4 1.2

지배주주지분포괄이익 15.5 10.9 25.8 25.9 42.6 매입채무 등 35.8 19.3 44.9 64.4 67.8

NOPAT 9.7 7.2 24.1 23.4 40.1 기타유동부채 6.2 6.2 16.2 13.8 20.5

EBITDA 19.4 16.2 38.5 39.4 62.6 비유동부채 5.5 5.0 4.4 10.1 11.5

성장성(%) 금융부채 0.0 0.0 0.0 2.3 1.6

매출액증가율 (15.7) (34.3) 65.6 25.7 22.0 기타비유동부채 5.5 5.0 4.4 7.8 9.9

NOPAT증가율 (55.1) (25.8) 234.7 (2.9) 71.4 부채총계 52.4 30.5 65.5 89.7 101.0

EBITDA증가율 (41.2) (16.5) 137.7 2.3 58.9 지배주주지분 191.3 199.2 221.5 244.0 283.3

영업이익증가율 (52.2) (31.5) 247.2 1.0 72.1 자본금 16.2 16.7 16.7 16.7 16.7

(지배주주)순익증가율 (38.8) (26.1) 130.1 (0.8) 66.3 자본잉여금 48.2 47.7 47.7 47.7 47.7

EPS증가율 (39.0) (26.4) 130.2 (0.9) 66.5 자본조정 (0.1) (2.2) (5.0) (6.1) (6.3)

수익성(%) 기타포괄이익누계액 0.5 0.2 0.0 0.2 (0.1)

매출총이익률 16.5 24.5 23.0 19.8 24.0 이익잉여금 126.4 136.7 162.1 185.6 225.2

EBITDA이익률 7.4 9.4 13.6 11.0 14.4 비지배주주지분 8.8 8.6 18.9 18.7 19.6

영업이익률 5.0 5.2 10.9 8.7 12.3 자본총계 200.1 207.8 240.4 262.7 302.9

계속사업이익률 5.3 6.5 9.2 7.2 10.5 순금융부채 (45.5) (56.3) (80.6) (99.8) (122.4)

투자지표 현금흐름표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

주당지표(원) 영업활동 현금흐름 36.7 19.0 26.5 35.9 49.1

EPS 459 338 778 771 1,284 당기순이익 13.8 11.2 26.2 25.6 45.7

BPS 5,730 6,024 6,777 7,486 8,675 조정 1.4 10.5 18.0 8.6 16.6

CFPS 681 712 1,307 1,321 2,142 감가상각비 6.4 7.3 7.5 8.2 8.8

EBITDAPS 582 485 1,152 1,181 1,874 외환거래손익 2.1 1.3 1.3 2.0 5.0

SPS 7,821 5,139 8,508 10,690 13,041 지분법손익 (4.4) (2.3) (2.1) (2.0) (4.4)

DPS 30 20 70 100 150 기타 (2.7) 4.2 11.3 0.4 7.2

주가지표(배) 영업활동 자산부채 변동 21.5 (2.7) (17.7) 1.7 (13.2)

PER 11.4 11.0 6.6 10.4 8.8 투자활동 현금흐름 (28.8) (20.7) 21.9 (50.4) (50.6)

PBR 0.9 0.6 0.8 1.1 1.3 투자자산감소(증가) (9.9) 1.8 8.5 (2.0) (0.1)

PCFR 7.7 5.2 3.9 6.1 5.3 유형자산감소(증가) (5.8) (5.1) (4.0) (8.1) (21.2)

EV/EBITDA 6.8 4.7 2.8 4.7 4.4 기타 (13.1) (17.4) 17.4 (40.3) (29.3)

PSR 0.7 0.7 0.6 0.8 0.9 재무활동 현금흐름 1.2 (8.2) (3.2) (1.2) (3.2)

재무비율(%) 금융부채증가(감소) 4.9 (5.0) 0.0 3.6 (0.8)

ROE 8.5 5.8 12.4 11.1 16.3 자본증가(감소) (0.3) 0.0 0.0 0.0 0.0

ROA 6.2 4.6 9.6 7.8 11.3 기타재무활동 (1.5) (2.2) (2.5) (2.4) 0.8

ROIC 7.1 6.2 19.5 17.2 27.8 배당지급 (1.9) (1.0) (0.7) (2.4) (3.2)

부채비율 26.2 14.7 27.3 34.1 33.4 현금의 증감 9.1 (10.1) 45.2 (15.5) (4.4)

순부채비율 (22.7) (27.1) (33.5) (38.0) (40.4) Unlevered CFO 22.7 23.8 43.6 44.1 71.5

이자보상배율(배) 268.3 48.3 1,621.4 1,087.9 802.4 Free Cash Flow 30.8 13.8 22.5 26.4 25.4

자료: 하나금융투자

Page 74: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

74

2016년 11월 2일

피에스케이 (031980)

국내 유일의 박리 공정 업체

관심종목

Not Rated

CP(11월1일): 13,700원

Key Data Consensus Data

KOSDAQ 지수 (pt) 624.68 2016 2017

52주 최고/최저(원) 15,200/7,790 매출액(십억원) 163.0 188.8

시가총액(십억원) 281.6 영업이익(십억원) 26.0 32.1

시가총액비중(%) 0.14 순이익(십억원) 24.1 26.5

발행주식수(천주) 20,331.6 EPS(원) 1,173 1,305

60일 평균 거래량(천주) 184.7 BPS(원) 9,902 11,116

60일 평균 거래대금(십억원) 2.6

16년 배당금(예상,원) 200 Stock Price

16년 배당수익률(예상,%) 1.44

60

80

100

120

140

160

7

9

11

13

15

17

15.10 16.1 16.4 16.7 16.10

(천원)피에스케이(좌)

상대지수(우)

외국인지분율(%) 8.08

주요주주 지분율(%)

금영 32.14

KB자산운용 16.84

주가상승률 1M 6M 12M

절대 (5.5) 38.5 35.8

상대 3.1 55.1 48.6

Financial Data

투자지표 단위 2011 2012 2013 2014 2015

매출액 십억원 91.1 84.4 129.1 148.6 138.4

영업이익 십억원 4.1 8.7 21.0 17.5 16.7

세전이익 십억원 1.8 13.8 22.1 14.2 17.8

순이익 십억원 1.4 11.6 16.9 10.8 13.6

EPS 원 70 582 847 531 670

증감률 % N/A 731.4 45.5 (37.3) 26.2

PER 배 85.63 7.04 11.49 27.68 13.69

PBR 배 0.94 0.60 1.28 1.76 1.02

EV/EBITDA 배 9.15 2.63 5.30 11.05 5.00

ROE % 2.22 8.93 11.77 6.69 7.72

BPS 원 6,365 6,854 7,626 8,358 8,992

DPS 원 0 0 100 200 200

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

회사 개요

피에스케이는 반도체 공정에 식각이나 이온주입 등에 의해 굳

어진 감광액(Photo Resist)의 건식 제거(Dry Strip)장비를 공

급하는 업체이다. 또한 후공정에 사용되는 감광액 제거 장비인

Bump Descum도 공급중이다. 2015년 기준 건식 제거장비의

매출 비중은 67%를 기록했다. 건식 제거장비로 국한하면 글로

벌 시장점유율 25%로 1위이다. 글로벌 순위에서 알 수 있듯이

삼성전자, SK하이닉스 뿐만 아니라 미국, 중국, 대만 고객사를

확보하고 있어 고객사 다변화를 이룬 업체이다.

주요 관전포인트

1) 64단 3D NAND 진행시에 수혜 기대: 피에스케이의 박리장

비는 고객사의 3D NAND향으로 공급되고 있지만, 수혜를

받고 있다고 말하기는 어렵다. 2D대비 오히려 필요한 장비

대수가 적기 때문이다. 다만, 64단의 경우에는 2D보다 필

요한 장비 대수가 많아져 수혜가 가능할 것으로 전망된다.

2) 신규 고객사 확보 가능성: 글로벌 경쟁업체가 재무상황이

악화되면서 주요 사업영역 중에 비중이 적고 수익성이 낮은

박리장비에 대한 투자와 서비스가 약화중인 것으로 파악된

다. 그에 따라서 고객사들이 기피하고 있어 피에스케이에게

는 기회가 될 것으로 판단된다.

투자 판단

피에스케이의 2016년 컨센서스 기준 PER 11.8배는 박리장비

안에서 글로벌 1위를 차지하고 있는 동사의 입지를 감안하면

저평가 받고 있다는 판단이다. 지금까지는 3D NAND에 의한

수혜에서 상대적으로 소외당했지만, 64단 3D NAND가 진행될

예정이기 때문에 주목할 필요가 있다는 판단이다. 또한 DRAM

의 1x나노 공정전환 진행시에도 수혜가 가능할 것으로 기대되

어 긍정적인 투자 접근을 권고한다.

Page 75: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

75

표 1. 실적 추이 (단위: 십억원)

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 2014 2015

매출액 39.8 34.3 29.4 45.2 30.6 29.5 48.4 30.0 23.5 51.5 148.6 138.4

Dry Strip 33.3 22.5 16.8 38.7 21.6 18.5 36.0 15.6 16.8 34.8 111.3 91.7

Dry Cleaning 0.0 0.0 0.0 0.0 0.0 0.0 0.0 3.0 0.0 6.0 0.0 3.0

기타 6.5 11.8 12.6 6.5 9.0 11.0 12.4 11.4 6.7 10.7 37.3 43.7

영업이익 7.0 1.9 4.1 4.6 3.6 0.5 9.8 2.9 0.7 11.0 17.5 16.7

QoQV -47.1% -72.7% 116.3% 10.7% -21.5% -87.4% 2066.7% -70.1% -75.7% 1450.7%

YoY 49.1% 흑전 -2.1% -65.3% -48.6% -76.3% 137.2% -35.8% -80.1% 2346.7% -17% -5%

영업이익률 17.5% 5.5% 14.0% 10.1% 11.7% 1.5% 20.2% 9.7% 3.0% 21.4% 11.8% 12.1%

자료: 하나금융투자

그림 1. 매출 비중 그림 2. 주요 제품 – Dry Strip

Dry Strip

66%Dry

Cleaning

2%

기타

32%

자료: 하나금융투자 자료: 하나금융투자

그림 3. 경쟁사 현황 – Dry Strip 그림 4. Valuation

74

9568

0

50

100

150

200

250

300

13 14 15

Lam Research PSKHitachi High-Technologies Mattson TechnologyUlvac Axcelis TechnologiesOthers

(백만달러)

0

2,000

4,000

6,000

8,000

10,000

12,000

14,000

16,000

18,000

20,000

08 09 10 11 12 13 14 15 16

수정주가 1.7x 1.3x

1.0x 0.7x 0.4x

(원)

자료: 하나금융투자 자료: 하나금융투자

Page 76: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

76

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

매출액 91.1 84.4 129.1 148.6 138.4 유동자산 102.7 90.9 125.1 123.6 129.1

매출원가 67.9 50.1 74.3 89.3 80.0 금융자산 65.0 53.5 72.2 76.0 85.0

매출총이익 23.2 34.3 54.8 59.3 58.4 현금성자산 3.0 13.2 38.6 23.9 29.1

판관비 19.1 25.6 33.7 41.8 41.7 매출채권 등 16.3 11.8 31.0 26.2 15.8

영업이익 4.1 8.7 21.0 17.5 16.7 재고자산 19.3 21.0 19.0 19.0 26.3

금융손익 (1.7) 1.8 0.7 1.8 1.8 기타유동자산 2.1 4.6 2.9 2.4 2.0

종속/관계기업손익 (0.9) (1.4) (0.5) (0.6) (0.5) 비유동자산 39.1 66.3 64.7 75.0 77.5

기타영업외손익 0.2 4.7 0.9 (4.6) (0.2) 투자자산 9.2 8.8 8.8 17.4 12.1

세전이익 1.8 13.8 22.1 14.2 17.8 금융자산 4.3 5.4 6.6 16.0 11.1

법인세 0.4 2.2 5.3 3.4 4.2 유형자산 22.0 21.6 22.0 24.6 29.2

계속사업이익 1.4 11.6 16.9 10.8 13.6 무형자산 3.8 33.6 31.7 30.8 32.7

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 4.1 2.3 2.2 2.2 3.5

당기순이익 1.4 11.6 16.9 10.8 13.6 자산총계 141.8 157.2 189.8 198.6 206.6

비지배주주지분 순이익 0.0 0.0 0.0 0.0 0.0 유동부채 11.8 13.8 27.3 15.7 12.8

지배주주순이익 1.4 11.6 16.9 10.8 13.6 금융부채 0.1 0.0 0.0 0.0 0.0

지배주주지분포괄이익 0.3 9.2 15.5 14.2 17.2 매입채무 등 10.9 5.7 18.5 11.8 11.4

NOPAT 3.2 7.3 16.0 13.3 12.8 기타유동부채 0.8 8.1 8.8 3.9 1.4

EBITDA 5.9 10.9 23.6 20.2 20.3 비유동부채 5.2 9.2 10.0 13.0 10.9

성장성(%) 금융부채 0.6 0.7 0.0 0.0 0.0

매출액증가율 N/A (7.4) 53.0 15.1 (6.9) 기타비유동부채 4.6 8.5 10.0 13.0 10.9

NOPAT증가율 N/A 128.1 119.2 (16.9) (3.8) 부채총계 17.0 23.0 37.3 28.7 23.7

EBITDA증가율 N/A 84.7 116.5 (14.4) 0.5 지배주주지분 124.7 134.2 152.5 169.9 182.8

영업이익증가율 N/A 112.2 141.4 (16.7) (4.6) 자본금 9.9 9.9 10.1 10.2 10.2

(지배주주)순익증가율 N/A 728.6 45.7 (36.1) 25.9 자본잉여금 24.8 25.2 28.9 32.2 32.3

EPS증가율 N/A 731.4 45.5 (37.3) 26.2 자본조정 (0.3) (0.5) (1.6) 0.3 0.0

수익성(%) 기타포괄이익누계액 (0.4) (2.5) (3.5) 0.1 3.1

매출총이익률 25.5 40.6 42.4 39.9 42.2 이익잉여금 90.7 102.0 118.5 127.2 137.3

EBITDA이익률 6.5 12.9 18.3 13.6 14.7 비지배주주지분 0.0 0.0 0.0 0.0 0.0

영업이익률 4.5 10.3 16.3 11.8 12.1 자본총계 124.7 134.2 152.5 169.9 182.8

계속사업이익률 1.5 13.7 13.1 7.3 9.8 순금융부채 (64.3) (52.8) (72.2) (76.0) (85.0)

투자지표 현금흐름표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

주당지표(원) 영업활동 현금흐름 8.1 15.2 19.5 14.7 17.4

EPS 70 582 847 531 670 당기순이익 1.4 11.6 16.9 10.8 13.6

BPS 6,365 6,854 7,626 8,358 8,992 조정 8.9 2.7 14.6 15.4 9.0

CFPS 494 781 1,568 1,548 1,285 감가상각비 1.8 2.2 2.5 2.7 3.6

EBITDAPS 299 547 1,184 993 999 외환거래손익 0.2 0.3 0.4 0.7 0.6

SPS 4,591 4,249 6,483 7,319 6,806 지분법손익 0.9 1.4 0.5 0.4 0.5

DPS 0 0 100 200 200 기타 6.0 (1.2) 11.2 11.6 4.3

주가지표(배) 영업활동 자산부채 변동 (2.2) 0.9 (12.0) (11.5) (5.2)

PER 85.6 7.0 11.5 27.7 13.7 투자활동 현금흐름 (20.3) (4.5) 3.6 (33.3) (8.4)

PBR 0.9 0.6 1.3 1.8 1.0 투자자산감소(증가) (8.3) 4.3 1.3 (8.9) 5.8

PCFR 12.1 5.3 6.2 9.5 7.1 유형자산감소(증가) (0.7) (1.0) (1.7) (4.4) (7.0)

EV/EBITDA 9.1 2.6 5.3 11.1 5.0 기타 (11.3) (7.8) 4.0 (20.0) (7.2)

PSR 1.3 1.0 1.5 2.0 1.3 재무활동 현금흐름 (1.7) 0.0 2.6 3.8 (4.1)

재무비율(%) 금융부채증가(감소) 0.7 (0.0) (0.7) 0.0 0.0

ROE 2.2 8.9 11.8 6.7 7.7 자본증가(감소) 34.7 0.5 3.9 3.3 0.0

ROA 2.0 7.7 9.7 5.6 6.7 기타재무활동 (35.1) (0.5) (0.6) 2.5 0.0

ROIC 11.9 10.8 19.5 15.1 13.6 배당지급 (2.0) 0.0 0.0 (2.0) (4.1)

부채비율 13.7 17.1 24.5 16.9 13.0 현금의 증감 (13.9) 10.3 25.4 (14.7) 5.2

순부채비율 (51.6) (39.4) (47.4) (44.7) (46.5) Unlevered CFO 9.8 15.5 31.2 31.4 26.1

이자보상배율(배) 1,068.5 3,468.0 170.2 2,839.4 1,879.7 Free Cash Flow 7.4 14.1 17.8 10.3 10.3

자료: 하나금융투자

Page 77: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

77

2016년 11월 2일

유니테스트 (086390)

글로벌 고객사 확보한 테스트장비 업체

관심종목

Not Rated

CP(11월1일): 12,800원

Key Data Consensus Data

KOSDAQ 지수 (pt) 624.68 2016 2017

52주 최고/최저(원) 13,500/6,100 매출액(십억원) 129.6 186.5

시가총액(십억원) 285.3 영업이익(십억원) 21.4 37.7

시가총액비중(%) 0.15 순이익(십억원) 20.4 28.3

발행주식수(천주) 21,134.1 EPS(원) 867 1,479

60일 평균 거래량(천주) 622.2 BPS(원) 4,059 5,297

60일 평균 거래대금(십억원) 7.0

16년 배당금(예상,원) 200 Stock Price

16년 배당수익률(예상,%) 1.48

50

70

90

110

130

150

170

6

8

10

12

14

16

15.10 16.1 16.4 16.7 16.10

(천원)유니테스트(좌)

상대지수(우)

외국인지분율(%) 4.97

주요주주 지분율(%)

김종현 외 10 인 22.37

신한비엔피파리바자산운용 5.09

주가상승률 1M 6M 12M

절대 4.7 66.7 60.7

상대 14.1 86.7 75.9

Financial Data

투자지표 단위 2011 2012 2013 2014 2015

매출액 십억원 51.2 43.5 16.3 62.5 133.5

영업이익 십억원 9.1 4.6 (7.7) 8.2 30.1

세전이익 십억원 7.7 2.1 (8.1) 6.8 29.3

순이익 십억원 8.2 2.4 (8.4) 6.5 25.9

EPS 원 519 148 (526) 376 1,394

증감률 % N/A (71.5) 적전 흑전 270.7

PER 배 3.70 18.27 N/A 25.50 6.39

PBR 배 0.83 1.12 0.97 4.28 2.55

EV/EBITDA 배 4.42 7.39 N/A 15.62 4.59

ROE % 46.81 6.60 (26.43) 19.73 49.91

BPS 원 2,325 2,411 1,883 2,240 3,496

DPS 원 60 0 0 80 200

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

회사 개요

유니테스트는 반도체 후공정에 사용되는 메모리 컴포넌트/모듈

테스트 장비를 공급하는 업체이다. 컴포넌트 용 고속 번인(가

열) 테스트 장비가 주요 제품으로, 2015년 기준 컴포넌트 테스

트 장비의 매출 비중은 89%에 달한다. 고객사별 매출은 SK하

이닉스 향 비중이 가장 크며, 최근 중국 고객사향 수주 확보를

통해 고객사 다변화가 진행중인 것으로 파악된다.

주요 관전포인트

1) 고속 번인장비 수주 지속: 2016년 SK하이닉스향 고속번인

장비를 순조롭게 공급한 것으로 파악된다. 2017년에도 올

해 이상의 수주가 기대되고 있는데, 이에 따라 실적 방향성

이 정해질 것으로 판단된다. 신규 고객사 확보에 대한 기대

보다는 현재 고객사향으로 올해보다 높은 매출액을 기대할

수 있다.

2) NAND 테스트장비로 영역 확대: 2017년에도 DRAM보다

성장률이 양호할 것으로 기대되는 NAND 업황에 동승할

수 있을지 여부가 중요하다. 16년 4분기 NAND 테스트 장

비에 대한 고객사 승인 작업이 진행중인 것으로 파악된다.

NAND 테스트 장비 공급이 가능하다면, 실적 뿐만 아니라

밸류에이션 측면에서 기여도가 높을 것으로 판단된다.

3) 비메모리 테스트장비: 현재 북미와 중국 고객사향으로 비메

모리 테스트장비도 준비중이다. 비메모리 테스트장비는 영

역의 확대와 해외 고객사 확보 등 앞서 언급한 NAND 테

스트장비와 더불어 밸류에이션 상향이 가능한 이벤트라고

생각된다.

투자 판단

2016년 실적 기준으로 PER 15.6배로 글로벌 업체인

Advantest, Teradyne 등에 비교하면 낮은 밸류에이션이다.

메모리, 그것도 DRAM에만 국한된 사업 포트폴리오에 의한 할

인요소가 있기 때문이다. 그렇기 때문에 향후 NAND 및 비메

모리 테스트장비의 공급 가능 여부가 동사의 실적 및 주가의

방향성을 결정하는 주요 포인트라는 판단이다. 다만, 현재 장

비만으로도 2017년 매출액 1,300억 달성 가능성이 높으며,

PER도 9.1배에 불과해 저평가된 상태라고 판단한다.

Page 78: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

78

표 1. 실적 추이 (단위: 십억원)

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 2014 2015

매출액 5.1 19.6 16.7 21.2 45.3 48.5 17.6 22.2 14.0 14.0 62.6 133.5

컴포넌트 테스터 2.7 16.5 16.3 12.1 40.6 45.7 14.5 18.0 13.1 11.8 47.6 118.8

모듈 테스터 0.7 0.3 0.6 0.9 0.5 0.8 0.9 0.7 0.2 0.7 2.5 3.0

기타 1.7 2.8 -0.2 8.2 4.2 1.9 2.1 3.5 0.6 1.6 12.4 11.8

영업이익 -0.6 4.4 3.6 0.9 14.0 15.6 1.7 -1.2 -3.3 0.5 8.2 30.1

QoQ 적지 흑전 -16.7% -76.3% 1532.6% 10.8% -89.4% 적전 적지 흑전

YoY 적지 3863.6% 흑전 흑전 흑전 256.7% -54.5% 적전 적전 -97.0% 흑전 265%

영업이익률 -12.2% 22.3% 21.7% 4.1% 31.0% 32.1% 9.4% -5.3% -23.4% 3.4% 13.2% 22.5%

자료: 하나금융투자

그림 1. 매출 비중 그림 2. 주요 제품 – 고속 번인 장비

컴포넌트

테스터

89%

모듈 테스터

2%

기타

9%

자료: 하나금융투자 자료: 하나금융투자

그림 3. 경쟁사 현황 그림 4. Valuation

Tester

Advantest

Teradyne

유니테스트

테 크윙

0

5,000

10,000

15,000

20,000

25,000

08 09 10 11 12 13 14 15 16

수정주가 4.4x 3.4x

2.5x 1.5x 0.6x

(원)

자료: 하나금융투자 자료: 하나금융투자

Page 79: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

79

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

매출액 51.2 43.5 16.3 62.5 133.5 유동자산 42.0 33.1 23.3 46.2 61.6

매출원가 32.0 26.9 11.9 37.8 81.3 금융자산 7.6 12.4 7.5 7.0 26.2

매출총이익 19.2 16.6 4.4 24.7 52.2 현금성자산 6.9 11.0 7.1 6.6 24.6

판관비 10.1 12.0 12.1 16.5 22.2 매출채권 등 20.0 10.7 4.1 14.6 18.3

영업이익 9.1 4.6 (7.7) 8.2 30.1 재고자산 12.8 9.7 10.6 20.0 14.2

금융손익 (2.4) (1.9) (1.0) (1.0) (0.6) 기타유동자산 1.6 0.3 1.1 4.6 2.9

종속/관계기업손익 0.0 0.0 0.0 0.0 0.0 비유동자산 31.3 31.9 35.2 35.0 39.2

기타영업외손익 1.1 (0.6) 0.5 (0.3) (0.1) 투자자산 1.3 1.5 1.6 1.9 3.8

세전이익 7.7 2.1 (8.1) 6.8 29.3 금융자산 1.3 1.5 1.6 1.9 3.8

법인세 (0.6) (0.3) 0.2 0.4 3.4 유형자산 24.7 23.9 23.3 22.1 27.7

계속사업이익 8.4 2.4 (8.4) 6.5 25.9 무형자산 2.0 2.9 7.1 7.9 4.8

중단사업이익 (0.1) 0.0 0.0 0.0 0.0 기타비유동자산 3.3 3.6 3.2 3.1 2.9

당기순이익 8.3 2.4 (8.4) 6.5 25.9 자산총계 73.3 65.0 58.5 81.2 100.9

비지배주주지분 순이익 0.0 0.0 (0.0) (0.0) 0.1 유동부채 19.0 22.1 24.7 35.0 26.6

지배주주순이익 8.2 2.4 (8.4) 6.5 25.9 금융부채 12.6 18.8 19.4 16.8 13.5

지배주주지분포괄이익 7.2 2.3 (8.4) 6.2 26.1 매입채무 등 5.7 2.3 3.7 16.0 7.4

NOPAT 9.8 5.3 (7.9) 7.8 26.6 기타유동부채 0.7 1.0 1.6 2.2 5.7

EBITDA 11.9 7.3 (5.7) 12.2 35.7 비유동부채 19.0 6.6 6.9 7.5 9.3

성장성(%) 금융부채 16.9 4.8 4.8 3.7 3.7

매출액증가율 N/A (15.0) (62.5) 283.4 113.6 기타비유동부채 2.1 1.8 2.1 3.8 5.6

NOPAT증가율 N/A (45.9) 적전 흑전 241.0 부채총계 38.0 28.7 31.6 42.5 35.9

EBITDA증가율 N/A (38.7) 적전 흑전 192.6 지배주주지분 35.2 36.2 27.0 38.8 64.9

영업이익증가율 N/A (49.5) 적전 흑전 267.1 자본금 7.9 7.9 7.9 9.3 9.7

(지배주주)순익증가율 N/A (70.7) 적전 흑전 298.5 자본잉여금 19.7 19.8 19.8 24.0 25.2

EPS증가율 N/A (71.5) 적전 흑전 270.7 자본조정 (1.7) (2.1) (2.9) (2.9) (3.1)

수익성(%) 기타포괄이익누계액 0.1 (0.0) (0.2) (0.2) (0.2)

매출총이익률 37.5 38.2 27.0 39.5 39.1 이익잉여금 9.1 10.6 2.3 8.6 33.3

EBITDA이익률 23.2 16.8 (35.0) 19.5 26.7 비지배주주지분 0.1 0.1 (0.1) (0.1) (0.0)

영업이익률 17.8 10.6 (47.2) 13.1 22.5 자본총계 35.3 36.3 26.9 38.7 64.9

계속사업이익률 16.4 5.5 (51.5) 10.4 19.4 순금융부채 21.9 11.2 16.7 13.4 (8.9)

투자지표 현금흐름표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

주당지표(원) 영업활동 현금흐름 0.4 13.6 (0.5) 4.1 26.3

EPS 519 148 (526) 376 1,394 당기순이익 0.0 0.0 0.0 0.0 0.0

BPS 2,325 2,411 1,883 2,240 3,496 조정 9.2 6.5 (4.9) 13.8 40.6

CFPS 765 527 (285) 820 2,048 감가상각비 2.8 2.7 1.9 4.0 5.6

EBITDAPS 748 463 (362) 708 1,924 외환거래손익 0.4 1.6 0.3 0.3 0.8

SPS 3,223 2,737 1,029 3,625 7,192 지분법손익 0.0 0.0 0.0 0.0 0.0

DPS 60 0 0 80 200 기타 6.0 2.2 (7.1) 9.5 34.2

주가지표(배) 영업활동 자산부채 변동 (8.8) 7.1 4.4 (9.7) (14.3)

PER 3.7 18.3 N/A 25.5 6.4 투자활동 현금흐름 2.6 (1.0) (2.2) (4.0) (7.5)

PBR 0.8 1.1 1.0 4.3 2.5 투자자산감소(증가) (1.3) (0.2) (0.1) (0.3) (1.9)

PCFR 2.5 5.1 N/A 11.7 4.3 유형자산감소(증가) 4.0 1.7 (0.9) (0.3) (0.8)

EV/EBITDA 4.4 7.4 N/A 15.6 4.6 기타 (0.1) (2.5) (1.2) (3.4) (4.8)

PSR 0.6 1.0 1.8 2.6 1.2 재무활동 현금흐름 (0.7) (7.9) (1.1) (0.8) (0.8)

재무비율(%) 금융부채증가(감소) 29.6 (6.0) 0.7 (3.8) (3.2)

ROE 46.8 6.6 (26.4) 19.7 49.9 자본증가(감소) 27.7 0.1 0.0 5.5 1.7

ROA 22.5 3.4 (13.5) 9.3 28.4 기타재무활동 (58.0) (1.1) (1.8) (2.5) 2.1

ROIC 35.7 10.6 (18.5) 16.9 49.0 배당지급 0.0 (0.9) 0.0 0.0 (1.4)

부채비율 107.6 79.2 117.4 110.1 55.3 현금의 증감 2.4 4.1 (3.9) (0.5) 18.0

순부채비율 62.1 30.9 62.2 34.8 (13.7) Unlevered CFO 12.1 8.4 (4.5) 14.1 38.0

이자보상배율(배) 3.9 2.3 (7.0) 8.1 43.8 Free Cash Flow 0.2 12.7 (1.5) 3.7 25.5

자료: 하나금융투자

Page 80: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

80

2016년 11월 2일

테크윙 (089030)

영역을 넓히다

관심종목

Not Rated

CP(11월1일): 12,550원

Key Data Consensus Data

KOSDAQ 지수 (pt) 624.68 2016 2017

52주 최고/최저(원) 15,250/8,150 매출액(십억원) 156.2 179.9

시가총액(십억원) 227.5 영업이익(십억원) 32.8 35.5

시가총액비중(%) 0.12 순이익(십억원) 26.6 26.9

발행주식수(천주) 18,051.7 EPS(원) 1,585 1,491

60일 평균 거래량(천주) 136.4 BPS(원) 6,632 7,902

60일 평균 거래대금(십억원) 1.8

16년 배당금(예상,원) 220 Stock Price

16년 배당수익률(예상,%) 1.75

60

80

100

120

140

160

7

9

11

13

15

17

15.10 16.1 16.4 16.7 16.10

(천원)테크윙(좌)

상대지수(우)

외국인지분율(%) 6.25

주요주주 지분율(%)

나윤성 외 1 인 22.97

미래에셋자산운용 10.40

주가상승률 1M 6M 12M

절대 (2.7) (1.9) 27.0

상대 6.1 9.8 39.0

Financial Data

투자지표 단위 2011 2012 2013 2014 2015

매출액 십억원 0.0 0.0 91.8 112.4 136.0

영업이익 십억원 0.0 0.0 9.5 11.9 23.3

세전이익 십억원 0.0 0.0 9.5 8.3 21.4

순이익 십억원 0.0 0.0 8.9 7.9 16.7

EPS 원 0 0 541 478 969

증감률 % N/A N/A N/A (11.6) 102.7

PER 배 N/A N/A 12.94 16.64 9.35

PBR 배 N/A N/A 1.64 1.70 1.62

EV/EBITDA 배 N/A N/A 13.18 11.78 8.33

ROE % N/A N/A 27.57 11.31 19.53

BPS 원 0 0 4,281 4,672 5,594

DPS 원 120 110 120 200 220

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

회사 개요

테크윙은 반도체 테스트 공정에 필요한 테스트 핸들러를 생산

하는 업체이다. 또한 테스트 핸들러에 소모품으로 사용되는

C.O.K(교체 키트)와 인터페이스 보드도 함께 공급 중이다.

2015년 매출액 기준 핸들러 비중은 64%, C.O.K는 23% 를

기록했다. 삼성전자를 제외한 글로벌 탑티어 메모리 업체들을

고객으로 확보하고 있다. 자회사로 디스플레이 열처리 및 테스

트 장비를 공급하는 이엔씨테크놀로지가 있다.

주요 관전포인트

1) NAND 투자 수혜: SK하이닉스와 Micron, Toshiba 등은

2017년부터 NAND 투자가 본격화될 것으로 전망된다. 후

발 업체들의 3D NAND 투자 활성화에 따른 후공정 테스트

장비 발주가 예상되어 동사의 수혜가 예상된다.

2) 비메모리 비중 확대: 다수의 미국 비메모리 업체향으로 장

비 공급이 증가될 전망이다. 비메모리가 차지하는 비중은

2016년 7% 미만에 불과했는데, 2017년에는 15% 내외로

확대될 것으로 기대된다. 이는 고객사와 어플리케이션 다변

화 측면에서 긍정적이다.

3) 자회사 턴어라운드: 자회사인 디스플레이 장비 업체인 이엔

씨테크는 중국 업체향 매출비중이 높은 편인데, 2017년 중

국의 투자에 따른 매출 확대가 가능할 것으로 추정된다.

2015년 26억 적자, 2016년 손익분기점 도달했으며, 2017

년에는 실적 상향 가능할 것으로 판단된다.

투자 판단

2017년 NAND 투자와 비메모리 고객사향 매출비중 확대를

통해 안정적인 실적 시현이 가능할 전망이다. 2016년 기준

PER 8배로 글로벌 업체인 Advantest, Teradyne 등에 비교하

면 매출 규모 및 제품 포트폴리오가 제한적이기 때문에 할인

요소가 있다는 판단이다. 다만, 2016년부터 NAND 및 비메모

리 장비 공급이 시작되었고, 2017년 매출에 기여할 것으로 전

망되어 중장기적으로 긍정적인 시각을 유지한다.

Page 81: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

81

표 1. 실적 추이 (단위: 십억원)

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 2014 2015

매출액 21.7 32.7 32.9 25.2 21.2 43.4 43.5 28.0 32.1 50.2 112.4 136.0

메모리 테스트 핸들러 13.5 21.4 21.9 14.7 12.3 27.6 32.4 15.1 20.7 34.4 71.5 87.4

COK 4.9 5.7 7.3 4.6 4.2 12.5 6.0 9.3 7.1 10.4 22.5 32.0

테스트 인터페이스 보드 1.6 2.2 2.6 3.3 3.8 3.2 4.7 3.4 3.5 4.1 9.7 15.2

디스플레이 평가 장비 1.7 3.5 1.1 2.5 0.8 0.1 0.3 0.2 0.7 1.3 8.8 1.5

영업이익 2.4 4.1 4.0 1.4 1.7 7.9 9.8 4.0 6.7 12.7 11.9 23.3

QoQ 38.3% 68.2% -1.2% -64.7% 16.2% 375.8% 24.3% -58.9% 67.6% 89.6%

YoY 22.8% 33.9% 46.7% -18.9% -31.8% 92.9% 142.8% 182.4% 307.3% 62.3% 26% 95%

영업이익률 11.2% 12.4% 12.2% 5.6% 7.8% 18.1% 22.5% 14.3% 20.9% 25.4% 10.6% 17.1%

자료: 하나금융투자

그림 1. 매출 비중 그림 2. 주요 제품 – 테스트 핸들러

메모리

테스트

핸들러

64%

COK

24%

테스트

인터페이스

보드

11%

디스플레이

평가 장비

1%

자료: 하나금융투자 자료: 하나금융투자

그림 3. 경쟁사 현황 그림 4. Valuation

Tester

Advantest

Teradyne

유 니테스트

테크윙

고 영

0

2,000

4,000

6,000

8,000

10,000

12,000

14,000

16,000

18,000

20,000

12.1 13.1 14.1 15.1 16.1

수정주가 2.2x 2.0x

1.8x 1.5x 1.3x

(원)

자료: 하나금융투자 자료: 하나금융투자

Page 82: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

82

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

매출액 0.0 0.0 91.8 112.4 136.0 유동자산 0.0 0.0 63.0 75.8 92.7

매출원가 0.0 0.0 64.1 79.3 90.5 금융자산 0.0 0.0 9.9 7.0 15.1

매출총이익 0.0 0.0 27.7 33.1 45.5 현금성자산 0.0 0.0 4.0 4.0 14.1

판관비 0.0 0.0 18.3 21.2 22.2 매출채권 등 0.0 0.0 23.9 33.9 38.9

영업이익 0.0 0.0 9.5 11.9 23.3 재고자산 0.0 0.0 27.8 33.5 37.3

금융손익 0.0 0.0 (0.7) (2.8) (1.2) 기타유동자산 0.0 0.0 1.4 1.4 1.4

종속/관계기업손익 0.0 0.0 0.0 0.0 0.0 비유동자산 0.0 0.0 86.9 97.7 118.9

기타영업외손익 0.0 0.0 0.7 (0.8) (0.6) 투자자산 0.0 0.0 2.8 3.1 4.0

세전이익 0.0 0.0 9.5 8.3 21.4 금융자산 0.0 0.0 2.8 3.1 4.0

법인세 0.0 0.0 0.6 1.0 4.7 유형자산 0.0 0.0 69.6 69.4 84.6

계속사업이익 0.0 0.0 8.9 7.4 16.7 무형자산 0.0 0.0 14.1 14.0 17.1

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 0.0 0.0 0.4 11.2 13.2

당기순이익 0.0 0.0 8.9 7.4 16.7 자산총계 0.0 0.0 149.9 173.5 211.6

비지배주주지분 순이익 0.0 0.0 0.0 (0.5) (0.0) 유동부채 0.0 0.0 51.8 77.0 71.5

지배주주순이익 0.0 0.0 8.9 7.9 16.7 금융부채 0.0 0.0 30.2 46.9 49.5

지배주주지분포괄이익 0.0 0.0 8.9 7.7 16.2 매입채무 등 0.0 0.0 20.1 26.6 15.2

NOPAT N/A N/A 8.9 10.5 18.2 기타유동부채 0.0 0.0 1.5 3.5 6.8

EBITDA 0.0 0.0 12.7 16.2 27.9 비유동부채 0.0 0.0 33.3 21.6 43.4

성장성(%) 금융부채 0.0 0.0 32.1 19.5 41.5

매출액증가율 N/A N/A N/A 22.4 21.0 기타비유동부채 0.0 0.0 1.2 2.1 1.9

NOPAT증가율 N/A N/A N/A 18.0 73.3 부채총계 0.0 0.0 85.1 98.7 114.9

EBITDA증가율 N/A N/A N/A 27.6 72.2 지배주주지분 0.0 0.0 64.6 74.8 96.7

영업이익증가율 N/A N/A N/A 25.3 95.8 자본금 0.0 0.0 8.3 8.7 8.7

(지배주주)순익증가율 N/A N/A N/A (11.2) 111.4 자본잉여금 0.0 0.0 22.4 26.4 29.3

EPS증가율 N/A N/A N/A (11.6) 102.7 자본조정 0.0 0.0 (5.9) (5.9) (0.0)

수익성(%) 기타포괄이익누계액 0.0 0.0 0.0 0.0 0.0

매출총이익률 N/A N/A 30.2 29.4 33.5 이익잉여금 0.0 0.0 39.8 45.7 58.6

EBITDA이익률 N/A N/A 13.8 14.4 20.5 비지배주주지분 0.0 0.0 0.2 (0.0) 0.0

영업이익률 N/A N/A 10.3 10.6 17.1 자본총계 0.0 0.0 64.8 74.8 96.7

계속사업이익률 N/A N/A 9.7 6.6 12.3 순금융부채 0.0 0.0 52.4 59.4 75.8

투자지표 현금흐름표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

주당지표(원) 영업활동 현금흐름 0.0 0.0 13.1 9.3 3.5

EPS 0 0 541 478 969 당기순이익 0.0 0.0 8.9 7.4 16.7

BPS 0 0 4,281 4,672 5,594 조정 0.0 0.0 4.5 9.0 9.6

CFPS 0 0 923 1,169 1,808 감가상각비 0.0 0.0 3.2 4.2 4.6

EBITDAPS 0 0 773 981 1,614 외환거래손익 0.0 0.0 1.1 1.2 1.5

SPS 0 0 5,573 6,818 7,872 지분법손익 0.0 0.0 0.0 0.0 0.0

DPS 120 110 120 200 220 기타 0.0 0.0 0.2 3.6 3.5

주가지표(배) 영업활동 자산부채 변동 0.0 0.0 (0.3) (7.1) (22.8)

PER N/A N/A 12.9 16.6 9.3 투자활동 현금흐름 0.0 0.0 (34.2) (15.6) (23.9)

PBR N/A N/A 1.6 1.7 1.6 투자자산감소(증가) 0.0 0.0 (2.8) (0.3) (0.9)

PCFR N/A N/A 7.6 6.8 5.0 유형자산감소(증가) 0.0 0.0 (25.6) (11.4) (19.4)

EV/EBITDA N/A N/A 13.2 11.8 8.3 기타 0.0 0.0 (5.8) (3.9) (3.6)

PSR N/A N/A 1.3 1.2 1.2 재무활동 현금흐름 0.0 0.0 15.4 6.3 30.5

재무비율(%) 금융부채증가(감소) 0.0 0.0 62.3 4.1 24.5

ROE N/A N/A 27.6 11.3 19.5 자본증가(감소) 0.0 0.0 30.7 4.4 3.0

ROA N/A N/A 11.9 4.9 8.7 기타재무활동 0.0 0.0 (75.9) (0.3) 6.3

ROIC N/A N/A 15.3 8.7 12.6 배당지급 0.0 0.0 (1.7) (1.9) (3.3)

부채비율 N/A N/A 131.2 131.9 118.9 현금의 증감 0.0 0.0 (5.7) 0.0 10.1

순부채비율 N/A N/A 80.8 79.4 78.4 Unlevered CFO 0.0 0.0 15.2 19.3 31.2

이자보상배율(배) N/A N/A 6.0 4.7 12.7 Free Cash Flow 0.0 0.0 (12.6) (4.2) (16.3)

자료: 하나금융투자

Page 83: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

83

2016년 11월 2일

한솔케미칼 (014680)

과산화수소 공급 부족

관심종목

Not Rated

CP(11월1일): 83,300원

Key Data Consensus Data

KOSPI 지수 (pt) 2,008.19 2016 2017

52주 최고/최저(원) 92,400/52,200 매출액(십억원) 464.2 568.0

시가총액(십억원) 935.2 영업이익(십억원) 84.5 105.4

시가총액비중(%) 0.08 순이익(십억원) 66.4 81.4

발행주식수(천주) 11,295.2 EPS(원) 5,761 7,074

60일 평균 거래량(천주) 60.5 BPS(원) 27,261 32,800

60일 평균 거래대금(십억원) 5.2

16년 배당금(예상,원) 800 Stock Price

16년 배당수익률(예상,%) 1.03

60

70

80

90

100

110

120

130

140

47

57

67

77

87

97

15.10 16.1 16.4 16.7 16.10

(천원)한솔케미칼(좌)

상대지수(우)

외국인지분율(%) 11.60

주요주주 지분율(%)

KB자산운용 15.50

조동혁 외 4 인 15.02

주가상승률 1M 6M 12M

절대 (5.2) 14.8 23.6

상대 (3.5) 14.0 24.9

Financial Data

투자지표 단위 2011 2012 2013 2014 2015

매출액 십억원 297.0 318.9 317.0 336.1 368.0

영업이익 십억원 24.2 26.8 27.7 28.2 49.0

세전이익 십억원 22.8 42.0 25.4 24.9 42.6

순이익 십억원 18.7 36.1 20.3 21.4 34.1

EPS 원 1,652 3,195 1,797 1,897 3,023

증감률 % 21.5 93.4 (43.8) 5.6 59.4

PER 배 11.35 7.48 15.22 20.54 20.54

PBR 배 1.29 1.47 1.54 2.05 2.87

EV/EBITDA 배 9.42 10.05 11.12 12.77 12.50

ROE % 12.61 21.64 10.70 10.42 15.01

BPS 원 14,534 16,231 17,725 19,033 21,608

DPS 원 500 500 500 600 800

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

회사 개요

한솔케미칼은 과산화수소(H2O2)와 전구체(Precursor), 퀀텀

닷(QD) 소재 등 정밀화학 기반의 전재재료 사업을 영위하는

업체이다. 가장 큰 비중을 차지하는 과산화수소는 3D NAND

및 OLED 패널 공정용으로 삼성전자, SK하이닉스에 공급하고

있다. 또한 비 카드뮴 퀀텀닷 재료는 삼성전자 SUHD TV에

독점으로 공급하고 있으며, 전구체는 TSMC 와 삼성전자 내

점유율이 확대 되고 있는 것으로 추정된다. 자회사인 한솔씨앤

피는 스마트폰 코팅재료를 생산하고 있다.

주요 관전포인트

1) 과산화수소 공급 부족: 한솔케미칼은 주력 제품인 과산화수

소는 반도체 및 디스플레이 세정용으로 사용되고 있다. 현재

동사는 해당 시장에서 과점적인 지위를 확보중에 있다. 3D

NAND와 OLED 증설에 의해 수요는 더욱 증가할 것으로 전

망되어 생산능력 확대를 고민해야 할 시기라고 판단한다.

2) 고객사의 퀀텀닷 TV가 예상보다 양호: 삼성전자의 퀀텀닷

TV의 예상 판매대수가 2016년 연초에 350만대에서 500만

대로 증가할 것으로 전망된다. 현재 퀀텀닷 재료는 한솔케

미칼이 독점적으로 공급중인 것으로 파악되어 수요 증가분

그대로 수혜가 예상된다.

투자 판단

한솔케미칼의 2016년 기준 PER은 14,4배로 동종업체보다 소

폭 낮은 수준으로 판단된다. 한솔케미칼의 주력 제품인 과산화

수소에서의 입지와 현재 수요가 증가중인 퀀텀닷 TV의 독점

공급을 감안하면, 저평가 영역이라고도 생각된다. 2017년 및

2018년에도 3D NAND 증설 진행과 퀀텀닷 TV의 판매수량

증가를 감안하면 밸류에이션 부담은 더욱 낮아질 것이기 때문

에 긍정적인 접근을 권고한다.

Page 84: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

84

표 1. 실적 추이 (단위: 십억원)

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 2014 2015

매출액 79.5 81.3 84.1 91.3 88.3 92.9 94.0 92.9 100.0 107.7 336.1 368.0

QoQ 1.9% 2.3% 3.4% 8.6% -3.3% 5.2% 1.1% -1.1% 7.6% 7.7%

YoY 6.3% 1.1% 0.3% 16.9% 11.0% 14.2% 11.8% 1.8% 13.3% 16.0% 6.0% 9.5%

영업이익 5.5 6.5 6.5 9.7 11.9 13.8 14.8 8.6 19.9 21.3 28.2 49.0

QoQ -1.4% 17.2% -0.2% 50.1% 22.2% 15.9% 7.2% -41.6% 130.3% 7.1%

YoY -22.3% -9.9% -17.3% 73.1% 114.6% 112.3% 128.0% -11.2% 67.2% 54.5% 2% 74%

영업이익률 7.0% 8.0% 7.7% 10.6% 13.4% 14.8% 15.7% 9.3% 19.8% 19.7% 8.4% 13.3%

자료: 하나금융투자

그림 1. 한솔케미칼 매출액 및 영업이익률 추이 그림 2. 주요 제품 – 과산화수소(H2O2)

0%

5%

10%

15%

20%

25%

0

20

40

60

80

100

120

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16

매출액 영업이익률(백만달러)

과산화수소

hydrogenperoxide

자료: 하나금융투자 자료: 하나금융투자

그림 3. 경쟁사 현황 그림 4. Valuation

세정재료

한솔케미칼(81*)

SK머트리얼즈

Mitsubishi Gas Chem

Etching gas

한솔케미칼(81*) Airproduct

SK머트리얼즈(235) Showa Denko(1072**)

원익머트리얼즈(124*) Kanto Denka

Linde

0

20,000

40,000

60,000

80,000

100,000

120,000

140,000

08.1 09.1 10.1 11.1 12.1 13.1 14.1 15.1 16.1

수정주가 4.0x 3.1x

2.2x 1.2x 0.3x

(원)

주: 괄호 안 매출 규모 단위는 백만달러, 2015년 기준

주1: (*) 품목별 매출액 Data 아님, 사업부(반도체 향) 매출액

주2: (**) 품목별 매출액 Data 아님, 사업부(IT향 or 산업용) 매출액

자료: 하나금융투자

자료: 하나금융투자

Page 85: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

85

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

매출액 297.0 318.9 317.0 336.1 368.0 유동자산 100.3 100.1 90.5 109.3 110.7

매출원가 250.4 263.9 257.4 268.7 276.1 금융자산 1.2 12.9 9.3 12.6 12.3

매출총이익 46.6 55.0 59.6 67.4 91.9 현금성자산 1.1 12.3 7.7 10.6 8.5

판관비 22.5 28.2 31.9 39.2 42.9 매출채권 등 76.9 63.6 50.9 61.8 62.2

영업이익 24.2 26.8 27.7 28.2 49.0 재고자산 22.0 22.3 28.8 31.1 29.1

금융손익 (3.3) (4.7) (5.9) (7.0) (10.2) 기타유동자산 0.2 1.3 1.5 3.8 7.1

종속/관계기업손익 7.5 8.2 5.3 4.5 4.7 비유동자산 221.5 258.6 337.5 365.1 410.4

기타영업외손익 (5.6) 11.8 (1.8) (0.7) (0.8) 투자자산 59.3 40.6 44.7 43.7 50.4

세전이익 22.8 42.0 25.4 24.9 42.6 금융자산 36.6 13.4 16.0 12.9 21.5

법인세 4.1 5.9 5.1 3.5 8.3 유형자산 149.7 192.5 262.6 290.1 330.8

계속사업이익 18.7 36.1 20.3 21.4 34.3 무형자산 11.9 15.8 19.3 18.9 17.8

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 0.6 9.7 10.9 12.4 11.4

당기순이익 18.7 36.1 20.3 21.4 34.3 자산총계 321.8 358.7 428.0 474.4 521.0

비지배주주지분 순이익 0.0 0.0 0.0 0.0 0.1 유동부채 107.9 76.1 112.0 99.4 113.3

지배주주순이익 18.7 36.1 20.3 21.4 34.1 금융부채 39.8 18.5 45.3 25.6 46.4

지배주주지분포괄이익 13.7 20.8 22.3 20.4 35.7 매입채무 등 66.7 50.9 64.1 70.4 60.0

NOPAT 19.8 23.0 22.2 24.3 39.4 기타유동부채 1.4 6.7 2.6 3.4 6.9

EBITDA 32.4 37.2 41.0 47.6 71.4 비유동부채 61.7 101.0 117.5 161.7 161.0

성장성(%) 금융부채 55.0 97.5 111.1 154.3 151.8

매출액증가율 24.1 7.4 (0.6) 6.0 9.5 기타비유동부채 6.7 3.5 6.4 7.4 9.2

NOPAT증가율 34.7 16.2 (3.5) 9.5 62.1 부채총계 169.6 177.1 229.5 261.1 274.3

EBITDA증가율 16.1 14.8 10.2 16.1 50.0 지배주주지분 152.3 181.3 198.2 212.9 242.1

영업이익증가율 18.6 10.7 3.4 1.8 73.8 자본금 56.5 56.5 56.5 56.5 56.5

(지배주주)순익증가율 21.4 93.0 (43.8) 5.4 59.3 자본잉여금 27.2 30.6 30.6 30.6 30.6

EPS증가율 21.5 93.4 (43.8) 5.6 59.4 자본조정 (12.0) (2.0) (1.8) (1.9) (1.9)

수익성(%) 기타포괄이익누계액 19.1 4.6 6.2 5.9 8.5

매출총이익률 15.7 17.2 18.8 20.1 25.0 이익잉여금 61.4 91.6 106.7 121.9 148.3

EBITDA이익률 10.9 11.7 12.9 14.2 19.4 비지배주주지분 0.0 0.3 0.3 0.3 4.7

영업이익률 8.1 8.4 8.7 8.4 13.3 자본총계 152.3 181.6 198.5 213.2 246.8

계속사업이익률 6.3 11.3 6.4 6.4 9.3 순금융부채 93.7 103.1 147.2 167.4 185.9

투자지표 현금흐름표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

주당지표(원) 영업활동 현금흐름 11.4 30.2 47.7 32.4 47.3

EPS 1,652 3,195 1,797 1,897 3,023 당기순이익 18.7 36.1 20.3 21.4 34.3

BPS 14,534 16,231 17,725 19,033 21,608 조정 5.5 (1.5) 11.3 20.0 29.7

CFPS 2,735 3,301 3,784 4,383 6,581 감가상각비 8.2 10.4 13.3 19.4 22.4

EBITDAPS 2,870 3,291 3,634 4,214 6,317 외환거래손익 0.1 0.1 0.6 1.3 3.9

SPS 26,297 28,229 28,069 29,757 32,583 지분법손익 (7.5) (8.2) (5.3) (4.5) (4.7)

DPS 500 500 500 600 800 기타 4.7 (3.8) 2.7 3.8 8.1

주가지표(배) 영업활동 자산부채 변동 (12.8) (4.4) 16.1 (9.0) (16.7)

PER 11.4 7.5 15.2 20.5 20.5 투자활동 현금흐름 (42.7) (33.9) (87.7) (45.7) (61.6)

PBR 1.3 1.5 1.5 2.0 2.9 투자자산감소(증가) 12.5 18.7 (4.1) 5.5 (2.7)

PCFR 6.9 7.2 7.2 8.9 9.4 유형자산감소(증가) (39.9) (52.6) (84.4) (43.4) (58.5)

EV/EBITDA 9.4 10.0 11.1 12.8 12.5 기타 (15.3) 0.0 0.8 (7.8) (0.4)

PSR 0.7 0.8 1.0 1.3 1.9 재무활동 현금흐름 31.4 14.9 35.3 16.0 9.8

재무비율(%) 금융부채증가(감소) 36.8 21.1 40.5 23.5 18.2

ROE 12.6 21.6 10.7 10.4 15.0 자본증가(감소) 0.1 3.3 0.0 0.0 0.0

ROA 6.4 10.6 5.2 4.7 6.9 기타재무활동 (1.5) (4.5) 0.3 (2.0) (1.7)

ROIC 11.4 10.3 7.9 7.5 10.7 배당지급 (4.0) (5.0) (5.5) (5.5) (6.7)

부채비율 111.4 97.5 115.6 122.5 111.1 현금의 증감 0.1 11.2 (4.5) 2.8 (4.4)

순부채비율 61.5 56.8 74.2 78.5 75.3 Unlevered CFO 30.9 37.3 42.7 49.5 74.3

이자보상배율(배) 6.9 5.1 4.2 3.9 6.6 Free Cash Flow (28.7) (22.6) (37.3) (11.5) (11.3)

자료: 하나금융투자

Page 86: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

86

2016년 11월 2일

원익머트리얼즈 (104830)

성장 잠재력 풍부한 특수가스 업체

관심종목

Not Rated

CP(11월1일): 69,300원

Key Data Consensus Data

KOSDAQ 지수 (pt) 684.33 2016 2017

52주 최고/최저(원) 75,000/51,900 매출액(십억원) 192.3 224.2

시가총액(십억원) 448.4 영업이익(십억원) 30.5 40.3

시가총액비중(%) 0.21 순이익(십억원) 23.1 30.1

발행주식수(천주) 6,245.8 EPS(원) 3,956 5,221

60일 평균 거래량(천주) 36.9 BPS(원) 35,173 39,982

60일 평균 거래대금(십억원) 2.5

16년 배당금(예상,원) 0 Stock Price

16년 배당수익률(예상,%) 0.00

60

70

80

90

100

110

120

47

52

57

62

67

72

77

15.9 15.12 16.3 16.6 16.9

(천원)원익머트리얼즈(좌)

상대지수(우)

외국인지분율(%) 6.56

주요주주 지분율(%)

원익홀딩스 46.11

국민연금 12.66

주가상승률 1M 6M 12M

절대 7.3 12.5 13.2

상대 6.5 14.1 14.0

Financial Data

투자지표 단위 2011 2012 2013 2014 2015

매출액 십억원 0.0 0.0 130.0 145.2 192.5

영업이익 십억원 0.0 0.0 25.7 27.3 33.3

세전이익 십억원 0.0 0.0 24.6 27.2 28.1

순이익 십억원 0.0 0.0 19.3 20.7 21.8

EPS 원 0 0 3,149 3,365 3,522

증감률 % N/A N/A N/A 6.9 4.7

PER 배 N/A N/A 13.08 13.55 18.65

PBR 배 N/A N/A 1.66 1.63 2.07

EV/EBITDA 배 N/A N/A 6.84 7.01 8.88

ROE % N/A N/A 25.35 12.78 11.82

BPS 원 0 0 24,754 27,914 31,689

DPS 원 0 0 0 0 0

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

회사 개요

원익머트리얼즈는 반도체 및 디스플레이 공정에 사용되는 특수

가스를 공급하는 업체이다. 주요 제품은 NH3(암모니아),

GeH4(저메인), Si2H6(디실란) 등으로, 증착, 확산, 열처리 등

의 대부분 공정에 이용된다. 2014년 HCDS(전구체, Precusor)

시장에 신규로 진출하며 사업영역을 확대하였다. 삼성전자, 삼

성디스플레이향 매출 비중이 높으며, 주요 자회사인 노바켐이

HCDS 등의 전구체를 생산하고 있다.

주요 관전포인트

1) 3D NAND에 의한 증착용 가스 수혜: 원인머트리얼즈는 반

도체 제조공정 안에서 중요도가 높은 증착 및 식각에 가스

를 공급중이다. 3D NAND에 의해 공정횟수가 증가하는 공

정이기 때문에 수혜가 많을 것으로 기대된다. DRAM의 투

자 감소와 2D NAND 공백기로 인해 다소 실적이 주춤했지

만, 3D NAND 가동이 본격화되는 16년 4분기부터 2017년

연간으로 양호한 실적을 달성할 가능성이 높다.

2) 플렉서블 OLED 증설도 수혜: 삼성디스플레이의 플렉서블

OLED 생산능력이 증가하고 있다. 원익머트리얼즈의

N2O(아산화질소)는 OLED 증착공정에 필요한 가스로 이

외에도 다수의 라인업을 확보하고 있다. 증설된 OLED 라

인의 가동이 개시되는 시점부터 본격적인 매출이 발생할 것

으로 기대된다.

투자 판단

원익머트리얼즈의 PER은 2016년 기준 20.3배, 2017년 기준

15.2배로 낮은 수준이라고 말하기는 어렵다. 다만, 3D NAND

와 OLED 증설에 의해 현재 추정치보다 실적이 상향될 수 있

는 가능성이 높은 업체라고 판단된다. 반도체 및 디스플레이향

으로 다수의 특수가스 라인업을 확보하고 있어 실적 상향 여력

은 충분하다. 주가는 예상실적을 상회할 때 상승폭이 높게 나

타나기 마련이다.

Page 87: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

87

표 1. 실적 추이 (단위: 십억원)

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 2014 2015

매출액 31.7 37.0 36.3 40.3 38.6 43.2 50.4 60.2 46.1 42.9 145.2 192.5

NH3, C3H6NO 5.7 6.2 6.6 12.9 8.2 9.3 10.1 9.9 9.4 11.7 31.4 37.6

GeH4,Si2H6 15.2 15.7 15.9 16.8 17.3 19.5 24.3 32.3 18.3 18.2 63.6 93.5

기타 10.8 15.1 13.8 10.5 13.1 14.3 16.0 18.0 18.4 13.0 50.2 61.4

영업이익 5.4 8.5 7.0 6.5 5.9 9.0 9.3 9.2 6.3 6.7 27.3 33.3

QoQ -29.7% 58.7% -17.7% -7.0% -9.4% 52.1% 3.7% -1.1% -31.2% 6.2%

YoY 13.3% 51.9% -9.8% -14.6% 10.1% 5.5% 32.9% 41.4% 7.3% -25.1% 6% 22%

영업이익률 16.9% 22.9% 19.3% 16.1% 15.2% 20.8% 18.4% 15.3% 13.7% 15.6% 18.8% 17.3%

자료: 하나금융투자

그림 1. 매출 비중 그림 2. 원익머트리얼즈 매출액 및 영업이익률 추이

NH3,

C3H6NO

19%

GeH4,Si2H6

49%

기타

32%

0%

5%

10%

15%

20%

25%

0

10

20

30

40

50

60

70

1Q14 3Q14 1Q15 3Q15 1Q16

매출액 영업이익률(우)(십억원)

자료: 하나금융투자 자료: 하나금융투자

그림 3. 경쟁사 현황 그림 4. Valuation

Etching gas

한 솔케미칼(81*) Airproduct

SK머 트리얼즈(235) Showa Denko(1072**)

원익머트리얼즈(124*) Kanto Denka

Linde

증착용 gas

원익머트리얼즈(124*)

SK머 트리얼즈(56)

후 성(117*)

Air product

Kanto Denka

전구체(Precursor)

원익머트리얼즈(124*)

디 엔에프(63)

한 솔케미칼(26)

Air product

0

10,000

20,000

30,000

40,000

50,000

60,000

70,000

80,000

90,000

100,000

12.1 13.1 14.1 15.1 16.1

수정주가 2.9x 2.5x

2.1x 1.6x 1.2x

(원)

주: 괄호 안 매출 규모 단위는 백만달러, 2015년 기준

주1: (*) 품목별 매출액 Data 아님, 사업부(반도체 향) 매출액

주2: (**) 품목별 매출액 Data 아님, 사업부(IT향 or 산업용) 매출액

자료: 하나금융투자

자료: 하나금융투자

Page 88: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

88

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

매출액 0.0 0.0 130.0 145.2 192.5 유동자산 0.0 0.0 66.8 72.3 69.8

매출원가 0.0 0.0 91.5 100.8 134.8 금융자산 0.0 0.0 39.7 41.3 26.0

매출총이익 0.0 0.0 38.5 44.4 57.7 현금성자산 0.0 0.0 29.6 34.4 24.3

판관비 0.0 0.0 12.9 17.0 24.3 매출채권 등 0.0 0.0 15.6 16.5 13.0

영업이익 0.0 0.0 25.7 27.3 33.3 재고자산 0.0 0.0 11.1 12.8 24.1

금융손익 0.0 0.0 1.4 0.9 0.1 기타유동자산 0.0 0.0 0.4 1.7 6.7

종속/관계기업손익 0.0 0.0 (0.5) (2.4) (7.0) 비유동자산 0.0 0.0 113.8 139.4 164.3

기타영업외손익 0.0 0.0 (1.9) 1.4 1.6 투자자산 0.0 0.0 29.0 32.3 35.3

세전이익 0.0 0.0 24.6 27.2 28.1 금융자산 0.0 0.0 16.0 11.6 14.3

법인세 0.0 0.0 5.5 7.1 6.9 유형자산 0.0 0.0 66.6 72.8 97.6

계속사업이익 0.0 0.0 19.1 20.0 21.2 무형자산 0.0 0.0 2.8 18.9 12.8

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 0.0 0.0 15.4 15.4 18.6

당기순이익 0.0 0.0 19.1 20.0 21.2 자산총계 0.0 0.0 180.5 211.7 234.2

비지배주주지분 순이익 0.0 0.0 (0.2) (0.7) (0.5) 유동부채 0.0 0.0 16.1 26.8 25.7

지배주주순이익 0.0 0.0 19.3 20.7 21.8 금융부채 0.0 0.0 0.0 7.1 4.0

지배주주지분포괄이익 0.0 0.0 19.9 19.4 22.9 매입채무 등 0.0 0.0 12.1 14.0 16.7

NOPAT N/A N/A 19.9 20.2 25.1 기타유동부채 0.0 0.0 4.0 5.7 5.0

EBITDA 0.0 0.0 32.4 36.4 44.2 비유동부채 0.0 0.0 9.9 6.1 5.9

성장성(%) 금융부채 0.0 0.0 5.9 1.7 1.3

매출액증가율 N/A N/A N/A 11.7 32.6 기타비유동부채 0.0 0.0 4.0 4.4 4.6

NOPAT증가율 N/A N/A N/A 1.5 24.3 부채총계 0.0 0.0 26.0 32.9 31.7

EBITDA증가율 N/A N/A N/A 12.3 21.4 지배주주지분 0.0 0.0 152.1 171.9 196.2

영업이익증가율 N/A N/A N/A 6.2 22.0 자본금 0.0 0.0 3.1 3.1 3.1

(지배주주)순익증가율 N/A N/A N/A 7.3 5.3 자본잉여금 0.0 0.0 59.1 59.5 61.4

EPS증가율 N/A N/A N/A 6.9 4.7 자본조정 0.0 0.0 2.0 2.2 1.5

수익성(%) 기타포괄이익누계액 0.0 0.0 0.6 (0.2) 1.2

매출총이익률 N/A N/A 29.6 30.6 30.0 이익잉여금 0.0 0.0 87.3 107.5 129.0

EBITDA이익률 N/A N/A 24.9 25.1 23.0 비지배주주지분 0.0 0.0 2.5 6.8 6.3

영업이익률 N/A N/A 19.8 18.8 17.3 자본총계 0.0 0.0 154.6 178.7 202.5

계속사업이익률 N/A N/A 14.7 13.8 11.0 순금융부채 0.0 0.0 (33.7) (32.5) (20.6)

투자지표 현금흐름표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

주당지표(원) 영업활동 현금흐름 0.0 0.0 23.9 28.0 26.1

EPS 0 0 3,149 3,365 3,522 당기순이익 0.0 0.0 19.1 20.0 21.2

BPS 0 0 24,754 27,914 31,689 조정 0.0 0.0 8.4 11.9 18.4

CFPS 0 0 5,633 6,250 7,557 감가상각비 0.0 0.0 6.8 9.1 10.9

EBITDAPS 0 0 5,302 5,918 7,154 외환거래손익 0.0 0.0 0.1 0.2 0.2

SPS 0 0 21,252 23,595 31,156 지분법손익 0.0 0.0 0.5 2.4 (0.2)

DPS 0 0 0 0 0 기타 0.0 0.0 1.0 0.2 7.5

주가지표(배) 영업활동 자산부채 변동 0.0 0.0 (3.6) (3.9) (13.5)

PER N/A N/A 13.1 13.6 18.7 투자활동 현금흐름 0.0 0.0 (27.2) (22.5) (33.2)

PBR N/A N/A 1.7 1.6 2.1 투자자산감소(증가) 0.0 0.0 (28.5) (0.9) (2.7)

PCFR N/A N/A 7.3 7.3 8.7 유형자산감소(증가) 0.0 0.0 (27.5) (13.2) (34.6)

EV/EBITDA N/A N/A 6.8 7.0 8.9 기타 0.0 0.0 28.8 (8.4) 4.1

PSR N/A N/A 1.9 1.9 2.1 재무활동 현금흐름 0.0 0.0 10.0 (1.0) (3.5)

재무비율(%) 금융부채증가(감소) 0.0 0.0 5.9 2.8 (3.4)

ROE N/A N/A 25.3 12.8 11.8 자본증가(감소) 0.0 0.0 62.1 0.4 2.0

ROA N/A N/A 21.3 10.6 9.8 기타재무활동 0.0 0.0 (58.0) (4.2) (2.1)

ROIC N/A N/A 42.8 19.7 19.6 배당지급 0.0 0.0 0.0 0.0 0.0

부채비율 N/A N/A 16.8 18.4 15.6 현금의 증감 0.0 0.0 6.6 4.8 (10.2)

순부채비율 N/A N/A (21.8) (18.2) (10.2) Unlevered CFO 0.0 0.0 34.5 38.4 46.7

이자보상배율(배) N/A N/A 201.1 71.9 44.2 Free Cash Flow 0.0 0.0 (3.8) 14.6 (9.1)

자료: 하나금융투자

Page 89: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

89

2016년 11월 2일

후성 (093370)

3D NAND와 2차전지 증설 수혜

관심종목

Not Rated

CP(11월1일): 8,240원

Key Data Consensus Data

KOSPI 지수 (pt) 2,008.19 2016 2017

52주 최고/최저(원) 7,900/3,925 매출액(십억원) 245.4 285.4

시가총액(십억원) 717.9 영업이익(십억원) 39.9 57.0

시가총액비중(%) 0.06 순이익(십억원) 35.8 51.9

발행주식수(천주) 92,399.8 EPS(원) 386 562

60일 평균 거래량(천주) 1,154.9 BPS(원) 1,258 1,732

60일 평균 거래대금(십억원) 8.4

16년 배당금(예상,원) 0 Stock Price

16년 배당수익률(예상,%) 0.00

70

90

110

130

150

170

190

210

4

5

6

7

8

9

15.10 16.1 16.4 16.7 16.10

(천원)후성(좌)

상대지수(우)

외국인지분율(%) 4.78

주요주주 지분율(%)

김용민 외 8 인 49.75

주가상승률 1M 6M 12M

절대 1.7 32.4 86.1

상대 3.5 31.4 88.1

Financial Data

투자지표 단위 2011 2012 2013 2014 2015

매출액 십억원 242.4 222.7 203.4 187.0 215.2

영업이익 십억원 24.8 (5.9) (15.9) (9.2) 15.6

세전이익 십억원 22.9 20.6 (40.1) (58.7) 13.3

순이익 십억원 14.6 17.2 (29.7) (68.2) 13.9

EPS 원 168 198 (342) (784) 157

증감률 % 166.7 17.9 적전 적지 흑전

PER 배 46.27 24.06 N/A N/A 34.06

PBR 배 5.25 2.78 2.33 5.33 5.65

EV/EBITDA 배 17.71 28.45 40.68 32.34 20.47

ROE % 12.21 12.51 (22.20) (80.57) 20.56

BPS 원 1,484 1,717 1,395 587 948

DPS 원 0 0 0 0 0

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

회사 개요

후성은 불소를 기반으로 하는 불소화합물을 주력으로 공급하는

업체이다. 2차전지에 사용되는 전해질을 생산하고 있다. 또한,

반도체 미세공정 및 3D NAND 공정 시 식각에 사용되는

C4F6(육불화부타디엔)와 증착에 사용되는 WF6(육불화텅스텐)

도 생산하여 삼성전자, SK하이닉스에 공급중이다. 이외에도

자동차용 매트를 생산하고 있으며, 2015년 기준 매출비중은

불소화합물이 75%, 자동차용 매트가 25%를 차지했다.

주요 관전포인트

1) 3D NAND 증설 효과: 후성이 생산중인 반도체 소재는 불

소 관련 가스이기 때문에 취급이 까다로우며 환경 문제로

증설이 쉽지 않다. 3D NAND의 식각에 필요한 C4F6는 동

사와 SK머티리얼즈, 증착에 필요한 WF6는 동사만 양산중

이다. 따라서 3D NAND의 증설에 의한 수혜가 가능할 것

으로 기대되어 중장기 성장성에 대한 가시성이 높다는 판단

이다.

2) 2차전지 증설 수혜도 기대: 중국의 전기차 판매량은 매년

증가중이지만, 국내 2차전지 업체들의 중국 업체향 배터리

공급에 대한 수혜는 미미하다. 다만, 국내 업체들도 중국

외의 고객사 확보하고 있어 자동차용 2차전지 증설은 진행

중이며, 향후에도 증설 가능성은 있다. 동사의 LiPF6는 2

차전지의 전해액에 사용되는 첨가제로 최근 고객사향 공급

이 확정되며 가동률이 상승될 것으로 기대된다.

투자 판단

후성은 반도체와 2차전지 업체들의 증설에 따른 수혜가 가능한

업체이다. 특히 3D NAND에 사용되는 증착, 식각용 가스는

수익성이 높고 진입장벽이 높아 안정적으로 성장할 수 있을 것

으로 판단된다. 2016년 컨센서스 기준 PER 20.1배로 다소 높

은 수준이다. 다만, 3D NAND향 소재의 성장성과 양호한 수

익성으로 2017년 PER은 13.8배로 낮아져 긍정적인 투자관점

을 제시한다.

Page 90: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

90

표 1. 실적 추이 (단위: 십억원)

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 2014 2015

매출액 46.6 49.3 45.2 45.9 48.3 53.5 56.9 56.6 60.2 59.2 187.0 215.2

불소화합물 32.7 34.9 32.1 32.9 35.8 41.3 43.3 40.7 47.5 46.0 132.7 161.2

자동차매트 13.8 14.5 13.1 13.0 12.5 12.2 13.6 15.9 12.8 13.2 54.4 54.1

영업이익 -1.4 -4.4 -0.4 -3.1 2.8 3.9 5.2 3.8 8.5 9.4 -9.2 15.6

QoQ 적지 적지 적지 적지 흑전 39.4% 34.5% -26.8% 123.4% 11.2%

YoY 적지 적지 적지 적지 흑전 흑전 흑전 흑전 206.5% 144.6% 적지 흑전

영업이익률 -2.9% -8.9% -0.8% -6.8% 5.7% 7.2% 9.1% 6.7% 14.1% 15.9% -4.9% 7.3%

자료: 하나금융투자

그림 1. 매출 비중 그림 2. 주요 제품 – 특수가스

불소화합물

75%

자동차매트

25%

자료: 하나금융투자 자료: 하나금융투자

그림 3. 경쟁사 현황 그림 4. Valuation

공정용 gas

SK머트리얼즈(235) Smitomo Seika chem

원익머트리얼즈(124*) Kanto Denka

후성(117*) Taiyo Nippon Sanso

Airproduct

Showa Denko(1072**)

0

1,000

2,000

3,000

4,000

5,000

6,000

7,000

8,000

9,000

10,000

08.1 09.1 10.1 11.1 12.1 13.1 14.1 15.1 16.1

수정주가 5.2x 4.3x

3.5x 2.6x 1.7x

(원)

주: 괄호 안 매출 규모 단위는 백만달러, 2015년 기준

주1: (*) 품목별 매출액 Data 아님, 사업부(반도체 향) 매출액

주2: (**) 품목별 매출액 Data 아님, 사업부(IT향 or 산업용) 매출액

자료: 하나금융투자

자료: 하나금융투자

Page 91: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

91

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

매출액 242.4 222.7 203.4 187.0 215.2 유동자산 90.7 90.8 76.9 82.3 85.9

매출원가 188.6 194.0 188.6 165.9 172.1 금융자산 4.3 14.5 9.0 8.0 14.2

매출총이익 53.8 28.7 14.8 21.1 43.1 현금성자산 4.0 11.4 5.5 6.8 12.4

판관비 29.0 34.6 30.7 30.3 27.5 매출채권 등 40.7 38.8 35.5 41.1 44.2

영업이익 24.8 (5.9) (15.9) (9.2) 15.6 재고자산 44.4 33.5 28.9 30.5 26.1

금융손익 (3.0) (3.8) (4.3) (4.7) (4.0) 기타유동자산 1.3 4.0 3.5 2.7 1.4

종속/관계기업손익 0.3 30.9 (0.8) (0.3) (0.7) 비유동자산 217.4 231.0 213.2 149.7 175.5

기타영업외손익 0.8 (0.6) (19.1) (44.4) 2.4 투자자산 31.2 16.7 13.0 10.3 16.0

세전이익 22.9 20.6 (40.1) (58.7) 13.3 금융자산 5.1 11.4 9.2 6.7 13.1

법인세 8.2 3.8 (9.8) 10.0 (0.4) 유형자산 178.7 201.8 180.3 132.2 152.1

계속사업이익 14.7 16.9 (30.3) (68.7) 13.7 무형자산 4.9 6.5 6.1 5.1 4.8

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 2.6 6.0 13.8 2.1 2.6

당기순이익 14.7 16.9 (30.3) (68.7) 13.7 자산총계 308.0 321.8 290.1 232.0 261.4

비지배주주지분 순이익 0.0 (0.3) (0.6) (0.5) (0.2) 유동부채 102.6 71.1 65.3 82.3 73.0

지배주주순이익 14.6 17.2 (29.7) (68.2) 13.9 금융부채 63.0 36.6 34.6 48.5 37.8

지배주주지분포괄이익 14.3 19.7 (28.5) (70.3) 15.0 매입채무 등 31.5 29.0 30.0 33.6 35.1

NOPAT 15.9 (4.8) (12.0) (10.8) 16.1 기타유동부채 8.1 5.5 0.7 0.2 0.1

EBITDA 45.7 18.7 10.0 12.5 30.0 비유동부채 77.5 90.2 92.9 88.3 90.4

성장성(%) 금융부채 75.7 84.8 89.0 82.5 83.8

매출액증가율 32.0 (8.1) (8.7) (8.1) 15.1 기타비유동부채 1.8 5.4 3.9 5.8 6.6

NOPAT증가율 98.8 적전 적지 적지 흑전 부채총계 180.1 161.3 158.1 170.6 163.4

EBITDA증가율 64.4 (59.1) (46.5) 25.0 140.0 지배주주지분 127.5 147.7 119.7 49.5 86.1

영업이익증가율 166.7 적전 적지 적지 흑전 자본금 42.4 42.4 42.4 42.4 46.2

(지배주주)순익증가율 165.5 17.8 적전 적지 흑전 자본잉여금 35.0 35.0 35.0 35.0 52.8

EPS증가율 166.7 17.9 적전 적지 흑전 자본조정 (1.1) (0.6) (0.1) (0.0) (0.0)

수익성(%) 기타포괄이익누계액 1.5 4.5 5.3 2.7 4.9

매출총이익률 22.2 12.9 7.3 11.3 20.0 이익잉여금 49.7 66.4 37.1 (30.6) (17.7)

EBITDA이익률 18.9 8.4 4.9 6.7 13.9 비지배주주지분 0.5 12.7 12.3 11.9 11.9

영업이익률 10.2 (2.6) (7.8) (4.9) 7.2 자본총계 128.0 160.4 132.0 61.4 98.0

계속사업이익률 6.1 7.6 (14.9) (36.7) 6.4 순금융부채 134.4 106.9 114.6 123.0 107.3

투자지표 현금흐름표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

주당지표(원) 영업활동 현금흐름 22.2 65.6 15.0 7.9 34.6

EPS 168 198 (342) (784) 157 당기순이익 14.7 16.9 (30.3) (68.7) 13.7

BPS 1,484 1,717 1,395 587 948 조정 23.8 24.5 36.0 82.5 13.9

CFPS 491 261 158 212 355 감가상각비 20.9 24.6 25.9 21.8 14.4

EBITDAPS 526 215 115 144 339 외환거래손익 0.5 1.1 0.9 0.7 0.3

SPS 2,789 2,562 2,340 2,152 2,430 지분법손익 (0.5) (30.9) 0.8 (0.2) 0.7

DPS 0 0 0 0 0 기타 2.9 29.7 8.4 60.2 (1.5)

주가지표(배) 영업활동 자산부채 변동 (16.3) 24.2 9.3 (5.9) 7.0

PER 46.3 24.1 N/A N/A 34.1 투자활동 현금흐름 (68.1) (41.1) (23.6) (13.7) (40.9)

PBR 5.3 2.8 2.3 5.3 5.7 투자자산감소(증가) (25.2) 14.6 3.8 2.8 (5.9)

PCFR 15.9 18.2 20.5 14.7 15.1 유형자산감소(증가) (51.8) (44.0) (24.8) (18.8) (35.8)

EV/EBITDA 17.7 28.4 40.7 32.3 20.5 기타 8.9 (11.7) (2.6) 2.3 0.8

PSR 2.8 1.9 1.4 1.5 2.2 재무활동 현금흐름 45.6 (16.7) 2.1 7.0 11.7

재무비율(%) 금융부채증가(감소) 44.6 (17.3) 2.2 7.4 (9.5)

ROE 12.2 12.5 (22.2) (80.6) 20.6 자본증가(감소) 0.3 0.0 0.0 0.0 21.6

ROA 5.3 5.5 (9.7) (26.1) 5.7 기타재무활동 0.7 0.6 (0.1) (0.4) (0.4)

ROIC 7.3 (1.9) (5.0) (5.3) 8.6 배당지급 (0.0) 0.0 0.0 (0.0) 0.0

부채비율 140.7 100.6 119.8 278.0 166.7 현금의 증감 (0.3) 7.4 (6.0) 1.4 5.5

순부채비율 105.0 66.6 86.8 200.4 109.5 Unlevered CFO 42.7 22.7 13.8 18.4 31.4

이자보상배율(배) 4.7 (1.0) (3.3) (1.9) 3.8 Free Cash Flow (29.6) 19.5 (11.8) (12.0) (1.6)

자료: 하나금융투자

Page 92: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

92

2016년 11월 2일

이엔에프테크놀로지 (102710)

반도체 영역 확대중

관심종목

Not Rated

CP(11월1일): 23,200원

Key Data Consensus Data

KOSDAQ 지수 (pt) 624.68 2016 2017

52주 최고/최저(원) 24,600/14,100 매출액(십억원) 328.2 362.5

시가총액(십억원) 335.1 영업이익(십억원) 42.6 48.9

시가총액비중(%) 0.17 순이익(십억원) 31.6 37.6

발행주식수(천주) 14,200.0 EPS(원) 2,372 2,859

60일 평균 거래량(천주) 179.5 BPS(원) 12,087 14,764

60일 평균 거래대금(십억원) 3.9

16년 배당금(예상,원) 50 Stock Price

16년 배당수익률(예상,%) 0.21

60

80

100

120

140

160

13

15

17

19

21

23

25

27

15.10 16.1 16.4 16.7 16.10

(천원)이엔에프테크놀로지(좌)

상대지수(우)

외국인지분율(%) 15.13

주요주주 지분율(%)

한국알콜산업 외 6 인 34.64

Korean Chemicals Investment Limited(KCI) 외 2 인

9.52

주가상승률 1M 6M 12M

절대 0.4 30.0 32.6

상대 9.5 45.7 45.1

Financial Data

투자지표 단위 2011 2012 2013 2014 2015

매출액 십억원 164.3 232.4 220.1 248.6 311.9

영업이익 십억원 18.0 19.6 12.0 13.8 37.9

세전이익 십억원 15.2 17.8 10.2 11.1 38.2

순이익 십억원 12.6 14.4 8.8 10.2 30.1

EPS 원 884 1,016 620 718 2,121

증감률 % N/A 14.9 (39.0) 15.8 195.4

PER 배 14.60 13.09 14.96 9.20 8.84

PBR 배 2.31 2.03 1.29 0.84 1.90

EV/EBITDA 배 7.72 7.82 7.37 4.70 5.56

ROE % 32.81 17.33 9.28 9.74 24.17

BPS 원 5,587 6,536 7,191 7,838 9,885

DPS 원 50 50 50 50 50

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

회사 개요

이엔에프테크놀로지는 반도체와 디스플레이 제조공정에 필요

한 전자재료 전문업체이다. 디스플레이 식각액이 전체 매출에

서 20% 중후반을 차지해 가장 높다. 반도체, 디스플레이용 신

너와 반도체 식각액이 각각 10% 초반의 비중을 보이고 있다.

신너는 주요 고객사 내에서 대부분 1차 공급업체인 것으로 파

악된다. 자회사 에바텍은 2차전지 양극활물질의 원재료 업체로

실적 기여도는 미미하다.

주요 관전포인트

1) 디스플레이 업체 증설: 국내 주요거래선인 LG디스플레이,

삼성디스플레이의 생산능력 확대로 인해 디스플레이용 식

각액 및 신너 매출 증대가 예상된다. 디스플레이용 식각액

및 신너는 고객사 내에서의 입지가 확고해 안정적인 성장이

가능할 전망이다.

2) 반도체용 식각액이 실적 변수: 2017년에는 삼성전자와 SK하

이닉스의 3D NAND 투자가 기대된다. 주요 고객사인 SK하

이닉스의 3D NAND투자에 따라 반도체 식각액 공급이 가능

할 것으로 전망된다. 삼성전자의 3D NAND 투자에 의한 공

급 여부로 2017년 실적 상향이 가능할 것으로 예상된다.

투자 판단

이엔에프테크놀로지의 2016년 컨센서스 기준 PER은 9.9배로

솔브레인과 함께 가격적인 매력이 돋보이는 업체라고 판단된

다. 최근에 반도체용 매출비중이 확대중에 있고, 2017년에도

추가적인 상승 여력이 높아 포트폴리오 다변화가 이루어지고

있다. 실적의 양과 질, 밸류이에션 측면에서 긍정적인 접근이

가능한 업체라는 판단이다.

Page 93: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

93

표 1. 실적 추이 (단위: 십억원)

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 2014 2015

매출액 53.8 59.8 62.9 72.1 72.2 77.2 80.9 81.5 80.1 80.3 248.6 311.9

프로세스 케미칼 43.1 49.0 52.3 60.9 59.7 63.6 69.1 70.0 67.1 67.6 205.3 262.5

화인 케미칼 4.8 5.2 4.8 5.7 5.4 5.8 5.2 5.4 5.5 4.8 20.4 21.7

컬러 페이스트 4.1 3.4 3.8 4.1 5.2 5.4 4.2 4.9 6.0 6.7 15.4 19.7

이차전지 전구체 1.5 1.8 1.7 1.1 1.4 2.0 1.8 0.7 0.6 0.4 6.0 5.9

기타 0.3 0.4 0.3 0.4 0.6 0.5 0.5 0.5 1.0 0.7 1.4 2.1

영업이익 2.1 3.4 3.5 4.8 7.0 9.7 10.6 10.6 9.9 10.6 13.8 37.9

QoQ 529.4% 57.0% 5.1% 36.3% 44.9% 38.5% 10.1% 0.1% -6.8% 6.8%

YoY 91.1% -38.6% -30.4% 1314.7% 225.7% 187.2% 200.8% 121.0% 42.2% 9.6% 15.3% 173.6%

영업이익률 4.0% 5.6% 5.6% 6.7% 9.7% 12.5% 13.1% 13.0% 12.4% 13.2% 5.6% 12.1%

자료: 하나금융투자

그림 1. 매출 비중 그림 2. 이엔에프테크 실적 추이

프로세스

케미칼

84%

화인 케미칼

6%

컬러

페이스트

8%

이차전지

전구체

1%

기타

1%

0%

2%

4%

6%

8%

10%

12%

14%

0

10

20

30

40

50

60

70

80

90

1Q14 3Q14 1Q15 3Q15 1Q16

매출액 영업이익률(우)(십억원)

자료: 하나금융투자 자료: 하나금융투자

표 1. 경쟁사 현황 그림 3. Valuation

Etchant(습식식각)

솔브레인(215)

이엔에프테크(230*)

Mitsubishi chem

Sumitomo chem(3410**)

Hitachi chem(2307**)

0

5,000

10,000

15,000

20,000

25,000

30,000

35,000

08 09 10 11 12 13 14 15 16 17

수정주가 2.0x 1.7x

1.3x 1.0x 0.7x

(원)

주: 괄호 안 매출 규모 단위는 백만달러, 2015년 기준

주1: (*) 품목별 매출액 Data 아님, 사업부(반도체 향) 매출액

주2: (**) 품목별 매출액 Data 아님, 사업부(IT향 or 산업용) 매출액

자료: 하나금융투자ㅁ

자료: 하나금융투자

Page 94: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

94

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

매출액 164.3 232.4 220.1 248.6 311.9 유동자산 71.8 64.0 58.1 76.4 97.8

매출원가 126.6 186.7 177.2 199.6 235.5 금융자산 21.1 13.0 8.5 8.9 14.3

매출총이익 37.7 45.7 42.9 49.0 76.4 현금성자산 17.0 13.0 8.1 8.5 9.4

판관비 19.7 26.1 31.0 35.1 38.5 매출채권 등 20.7 25.7 25.7 39.7 48.4

영업이익 18.0 19.6 12.0 13.8 37.9 재고자산 15.5 19.0 18.9 22.2 29.1

금융손익 (0.5) (0.2) (1.3) (1.1) (0.8) 기타유동자산 14.5 6.3 5.0 5.6 6.0

종속/관계기업손익 (0.7) (0.5) 0.2 (0.3) 0.2 비유동자산 50.2 93.4 111.4 109.9 123.8

기타영업외손익 (1.6) (1.1) (0.7) (1.4) 1.0 투자자산 4.0 4.6 4.0 3.8 4.6

세전이익 15.2 17.8 10.2 11.1 38.2 금융자산 0.9 1.2 0.4 0.2 0.2

법인세 2.7 4.6 3.5 3.3 9.2 유형자산 39.8 81.8 97.6 99.1 112.2

계속사업이익 12.5 13.3 6.7 7.8 29.0 무형자산 5.5 5.9 8.6 6.3 6.6

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 0.9 1.1 1.2 0.7 0.4

당기순이익 12.5 13.3 6.7 7.8 29.0 자산총계 121.9 157.4 169.5 186.3 221.6

비지배주주지분 순이익 (0.0) (1.2) (2.1) (2.4) (1.1) 유동부채 33.8 50.9 48.0 60.1 69.4

지배주주순이익 12.6 14.4 8.8 10.2 30.1 금융부채 10.0 24.4 23.0 28.4 26.1

지배주주지분포괄이익 12.6 13.8 8.6 9.5 29.8 매입채무 등 22.9 23.8 23.7 29.4 34.4

NOPAT 14.9 14.6 7.9 9.8 28.8 기타유동부채 0.9 2.7 1.3 2.3 8.9

EBITDA 23.5 27.4 22.4 27.2 52.1 비유동부채 10.9 5.6 12.3 9.7 6.4

성장성(%) 금융부채 8.4 2.9 9.6 7.7 6.0

매출액증가율 N/A 41.4 (5.3) 12.9 25.5 기타비유동부채 2.5 2.7 2.7 2.0 0.4

NOPAT증가율 N/A (2.0) (45.9) 24.1 193.9 부채총계 44.6 56.5 60.3 69.8 75.9

EBITDA증가율 N/A 16.6 (18.2) 21.4 91.5 지배주주지분 76.5 90.1 99.9 109.5 139.7

영업이익증가율 N/A 8.9 (38.8) 15.0 174.6 자본금 7.1 7.1 7.1 7.1 7.1

(지배주주)순익증가율 N/A 14.3 (38.9) 15.9 195.1 자본잉여금 14.2 14.2 15.6 15.6 16.2

EPS증가율 N/A 14.9 (39.0) 15.8 195.4 자본조정 (2.4) (1.9) (1.5) (1.1) (0.5)

수익성(%) 기타포괄이익누계액 0.1 (0.0) (0.1) 0.0 0.2

매출총이익률 22.9 19.7 19.5 19.7 24.5 이익잉여금 57.5 70.8 78.7 87.8 116.8

EBITDA이익률 14.3 11.8 10.2 10.9 16.7 비지배주주지분 0.8 10.8 9.4 7.0 6.0

영업이익률 11.0 8.4 5.5 5.6 12.2 자본총계 77.3 100.9 109.3 116.5 145.7

계속사업이익률 7.6 5.7 3.0 3.1 9.3 순금융부채 (2.7) 14.3 24.0 27.1 17.8

투자지표 현금흐름표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

주당지표(원) 영업활동 현금흐름 14.3 13.0 16.8 12.1 38.7

EPS 884 1,016 620 718 2,121 당기순이익 12.5 13.3 6.7 7.8 29.0

BPS 5,587 6,536 7,191 7,838 9,885 조정 6.0 10.7 11.4 18.3 21.5

CFPS 1,567 1,899 1,642 2,039 3,934 감가상각비 5.4 7.8 10.4 13.4 14.3

EBITDAPS 1,654 1,928 1,579 1,918 3,672 외환거래손익 0.4 2.2 0.6 1.0 2.2

SPS 11,573 16,367 15,503 17,506 21,961 지분법손익 0.7 0.5 (0.2) 0.3 (0.2)

DPS 50 50 50 50 50 기타 (0.5) 0.2 0.6 3.6 5.2

주가지표(배) 영업활동 자산부채 변동 (4.2) (11.0) (1.3) (14.0) (11.8)

PER 14.6 13.1 15.0 9.2 8.8 투자활동 현금흐름 (9.9) (37.5) (28.7) (14.3) (32.1)

PBR 2.3 2.0 1.3 0.8 1.9 투자자산감소(증가) (4.0) (0.6) 0.6 0.2 (0.7)

PCFR 8.2 7.0 5.7 3.2 4.8 유형자산감소(증가) (26.2) (49.2) (27.7) (14.0) (26.4)

EV/EBITDA 7.7 7.8 7.4 4.7 5.6 기타 20.3 12.3 (1.6) (0.5) (5.0)

PSR 1.1 0.8 0.6 0.4 0.9 재무활동 현금흐름 3.1 21.2 6.9 2.6 (5.7)

재무비율(%) 금융부채증가(감소) 18.3 9.0 5.3 3.5 (4.0)

ROE 32.8 17.3 9.3 9.7 24.2 자본증가(감소) 21.3 0.0 1.4 0.0 0.5

ROA 20.6 10.3 5.4 5.7 14.8 기타재무활동 (36.5) 12.9 0.9 (0.2) (1.5)

ROIC 47.2 16.4 6.4 7.1 18.6 배당지급 0.0 (0.7) (0.7) (0.7) (0.7)

부채비율 57.8 56.0 55.2 59.9 52.1 현금의 증감 7.0 (3.9) (5.1) 0.4 0.9

순부채비율 (3.5) 14.1 22.0 23.3 12.2 Unlevered CFO 22.3 27.0 23.3 28.9 55.9

이자보상배율(배) 29.3 27.5 13.7 18.1 42.2 Free Cash Flow (12.1) (36.5) (11.4) (2.4) 11.8

자료: 하나금융투자

Page 95: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

95

2016년 11월 2일

리노공업 (058470)

글로벌 Top Class

관심종목

Not Rated

CP(11월1일): 42,650원

Key Data Consensus Data

KOSDAQ 지수 (pt) 684.33 2016 2017

52주 최고/최저(원) 46,900/36,450 매출액(십억원) N/A N/A

시가총액(십억원) 679.8 영업이익(십억원) N/A N/A

시가총액비중(%) 0.32 순이익(십억원) N/A N/A

발행주식수(천주) 15,242.4 EPS(원) N/A N/A

60일 평균 거래량(천주) 38.5 BPS(원) N/A N/A

60일 평균 거래대금(십억원) 1.6

16년 배당금(예상,원) 900 Stock Price

16년 배당수익률(예상,%) 2.02

60

70

80

90

100

110

33

35

37

39

41

43

45

47

49

15.9 15.12 16.3 16.6 16.9

(천원)리노공업(좌)

상대지수(우)

외국인지분율(%) 21.11

주요주주 지분율(%)

이채윤 34.66

알리안츠글로벌인베스터스자산운용 14.04

주가상승률 1M 6M 12M

절대 11.8 17.1 0.5

상대 11.0 18.7 1.1

Financial Data

투자지표 단위 2011 2012 2013 2014 2015

매출액 십억원 66.0 75.2 80.6 93.4 99.5

영업이익 십억원 23.4 27.7 28.8 32.7 36.0

세전이익 십억원 24.1 30.8 32.3 38.4 40.7

순이익 십억원 19.3 24.9 26.2 30.9 32.6

EPS 원 1,264 1,631 1,718 2,025 2,141

증감률 % 18.7 29.0 5.3 17.9 5.7

PER 배 8.92 11.43 13.57 18.91 21.91

PBR 배 1.65 2.34 2.55 3.62 3.90

EV/EBITDA 배 4.09 7.06 8.66 13.37 15.08

ROE % 20.05 22.00 20.06 20.88 19.52

BPS 원 6,850 7,978 9,148 10,568 12,016

DPS 원 900 1,000 550 700 800

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

회사 개요

리노공업은 반도체나 인쇄회로기판의 전기적 불량 여부를 체크

하는 probe pin(Leeno Pin)과 메모리/비메모리 반도체의 이상

유무를 진단하는 검사장비 부품인 IC 테스트 소켓을 제조하는

업체이다. 2015년 기준 매출 비중은 Leeno Pin이 48%, IC 테

스트 소켓이 47%를 기록했다. 삼성전자를 필두로 Apple,

Qualcomm 등 국내 및 글로벌 비메모리 반도체 업체를 중심

으로 매출이 발생하고 있으며 해외 매출비중이 68%에 달한다.

주요 관전포인트

1) 디자인 및 개발 테스트에 특화: 리노공업은 고객사가 R&D

단에서 진행하는 디자인과 개발 테스트에 사용하는 테스트

소켓을 공급한다. 다품종 소량생산으로 대응하고 있어 가격

이 상대적으로 고가이기 때문에 35% 내외의 높은 영업이익

률을 시현중이다. IT 기기와 반도체의 기술 진화로 작은 사

이즈 안에 다수의 핀을 배치해야 하는데, 이에 따라 가격과

물량이 동반 상승한다. 소켓에 필요한 핀도 내재화하고 있

어 원가 경쟁력을 확보했다는 판단이다. 향후에도 해당 영

역 안에서 반도체 수요에 동승할 수 있을 것으로 전망한다.

2) IT기기 및 반도체 수요에 동승: 리노공업의 고객사는 국내

1,294개, 해외 105개사로 글로벌 반도체의 업황과 궤를 같

이 한다. 특정 고객사에 의존하지 않아 실적 변동성이 적

고, 개발단에 특화되어 있어 상대적으로 불황에도 실적 방

어가 가능하다는 판단이다.

투자 판단

현재 PER은 16.9배로 동종업체와 유사한 수준의 밸류에이션

에 해당하지만, 글로벌 경쟁업체인 일본업체보다 양호한 영업

이익률을 시현하고 있어 프리미엄도 가능하다고 판단한다.

2010년부터 꾸준하게 30% 이상의 배당성향을 유지하고 있고,

16년 이익 성장에 따른 주당배당금 상향 가능성 높아 배당수

익률 2% 내외를 기대할 수 있을 것으로 추정된다. 회사의 경

쟁력과 성장성 모두 양호하다고 판단되어 긍정적 투자판단을

권고한다.

Page 96: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

96

표 1. 실적 추이 (단위: 십억원)

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 2014 2015

매출액 20.7 28.4 23.5 20.8 22.8 26.2 28.6 21.8 26.6 31.7 93.4 99.5

IC Test Socket 14 21 15 N/A 9 13 16 8 10 17 51 46

Leeno Pin 6 6 7 N/A 13 12 11 11 15 13 18 48

기타 0.9 1.3 1.7 N/A 0.5 0.9 1.4 2.7 1.3 1.6 3.9 5.5

영업이익 7.7 11.1 8.4 5.5 8.6 9.9 10.6 6.9 9.9 12.0 32.7 36.0

QoQ 25.9% 43.8% -24.3% -34.5% 56.5% 14.5% 7.8% -35.0% 43.8% 21.0%

YoY 15.4% 43.4% 1.6% -10.3% 11.5% -11.2% 26.5% 25.6% 15.4% 22.0% 13% 10%

영업이익률 37.3% 39.1% 35.8% 26.4% 37.7% 37.6% 37.2% 31.7% 37.4% 37.9% 35.0% 36.2%

주: 4Q14 공시 시 매출유형 및 품목에 따른 매출액(비율)의 구분 재정립, 이전 보고서의 관련자료(매출에 관한 사항)와 결합 불가능

자료: 하나금융투자

그림 1. 매출 비중 그림 2. 주요 제품 – 테스트 소켓

IC Test

Socket

47%Leeno Pin

48%

기타

5%

자료: 하나금융투자 자료: 하나금융투자

그림 3. 경쟁사 현황 그림 4. Valuation

테스트서비스/테스트소켓

Amkor

SPIL

리노공업

ISC

마 이크로컨텍솔

0

10,000

20,000

30,000

40,000

50,000

60,000

70,000

08.1 09.1 10.1 11.1 12.1 13.1 14.1 15.1 16.1

수정주가 4.1x 3.2x

2.3x 1.4x 0.5x

(원)

자료: 하나금융투자 자료: 하나금융투자

Page 97: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

97

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

매출액 66.0 75.2 80.6 93.4 99.5 유동자산 75.8 78.7 90.2 99.6 105.1

매출원가 36.7 40.8 43.8 51.1 53.9 금융자산 61.4 62.5 71.8 78.9 85.7

매출총이익 29.3 34.4 36.8 42.3 45.6 현금성자산 21.3 21.9 20.7 21.8 21.9

판관비 5.9 6.7 8.0 9.6 9.6 매출채권 등 11.5 13.2 13.9 16.1 14.7

영업이익 23.4 27.7 28.8 32.7 36.0 재고자산 2.8 2.9 4.0 4.5 4.6

금융손익 1.6 2.0 1.8 2.0 0.7 기타유동자산 0.1 0.1 0.5 0.1 0.1

종속/관계기업손익 (2.2) 0.0 0.0 0.0 0.0 비유동자산 36.5 51.6 57.6 66.9 83.2

기타영업외손익 1.3 1.0 1.7 3.7 3.9 투자자산 9.3 14.7 9.3 7.8 16.5

세전이익 24.1 30.8 32.3 38.4 40.7 금융자산 9.3 14.7 9.3 7.8 16.5

법인세 4.8 5.9 6.2 7.6 8.0 유형자산 25.6 34.6 46.0 56.3 63.7

계속사업이익 19.3 24.9 26.2 30.9 32.6 무형자산 0.9 1.0 1.0 1.5 1.5

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 0.7 1.3 1.3 1.3 1.5

당기순이익 19.3 24.9 26.2 30.9 32.6 자산총계 112.3 130.3 147.9 166.6 188.3

비지배주주지분 순이익 0.0 0.0 0.0 0.0 0.0 유동부채 7.5 8.0 8.1 9.5 9.4

지배주주순이익 19.3 24.9 26.2 30.9 32.6 금융부채 0.0 0.0 0.0 0.0 0.0

지배주주지분포괄이익 19.0 24.4 25.9 30.0 32.6 매입채무 등 4.6 4.2 4.6 4.9 4.7

NOPAT 18.7 22.4 23.3 26.3 28.9 기타유동부채 2.9 3.8 3.5 4.6 4.7

EBITDA 27.0 31.4 32.7 37.8 41.7 비유동부채 0.4 0.7 0.3 0.8 0.8

성장성(%) 금융부채 0.0 0.0 0.0 0.0 0.0

매출액증가율 16.8 13.9 7.2 15.9 6.5 기타비유동부채 0.4 0.7 0.3 0.8 0.8

NOPAT증가율 6.2 19.8 4.0 12.9 9.9 부채총계 7.9 8.7 8.4 10.3 10.2

EBITDA증가율 9.8 16.3 4.1 15.6 10.3 지배주주지분 104.4 121.6 139.4 156.3 178.1

영업이익증가율 6.4 18.4 4.0 13.5 10.1 자본금 4.0 4.0 7.6 7.6 7.6

(지배주주)순익증가율 19.1 29.0 5.2 17.9 5.5 자본잉여금 8.3 8.3 4.6 4.6 4.6

EPS증가율 18.7 29.0 5.3 17.9 5.7 자본조정 0.0 0.0 0.0 (4.8) (5.0)

수익성(%) 기타포괄이익누계액 (0.0) (0.0) (0.2) (0.5) 0.0

매출총이익률 44.4 45.7 45.7 45.3 45.8 이익잉여금 92.2 109.4 127.4 149.4 170.9

EBITDA이익률 40.9 41.8 40.6 40.5 41.9 비지배주주지분 0.0 0.0 0.0 0.0 0.0

영업이익률 35.5 36.8 35.7 35.0 36.2 자본총계 104.4 121.6 139.4 156.3 178.1

계속사업이익률 29.2 33.1 32.5 33.1 32.8 순금융부채 (61.4) (62.5) (71.8) (78.9) (85.7)

투자지표 현금흐름표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

주당지표(원) 영업활동 현금흐름 19.5 27.0 25.4 38.7 29.3

EPS 1,264 1,631 1,718 2,025 2,141 당기순이익 19.3 24.9 26.2 30.9 32.6

BPS 6,850 7,978 9,148 10,568 12,016 조정 4.5 5.6 4.7 7.0 7.4

CFPS 1,873 2,201 2,344 2,770 3,056 감가상각비 3.5 3.6 3.9 5.1 5.7

EBITDAPS 1,770 2,059 2,146 2,478 2,737 외환거래손익 0.3 0.3 0.1 0.7 0.8

SPS 4,333 4,935 5,290 6,129 6,526 지분법손익 2.2 0.0 0.0 0.0 0.0

DPS 900 1,000 550 700 800 기타 (1.5) 1.7 0.7 1.2 0.9

주가지표(배) 영업활동 자산부채 변동 (4.3) (3.5) (5.5) 0.8 (10.7)

PER 8.9 11.4 13.6 18.9 21.9 투자활동 현금흐름 (1.9) (19.0) (18.5) (25.0) (19.1)

PBR 1.6 2.3 2.5 3.6 3.9 투자자산감소(증가) 8.9 (5.4) 5.4 1.5 (8.7)

PCFR 6.0 8.5 9.9 13.8 15.3 유형자산감소(증가) (8.5) (12.6) (15.4) (15.4) (13.3)

EV/EBITDA 4.1 7.1 8.7 13.4 15.1 기타 (2.3) (1.0) (8.5) (11.1) 2.9

PSR 2.6 3.8 4.4 6.2 7.2 재무활동 현금흐름 (6.4) (7.2) (8.0) (13.2) (10.8)

재무비율(%) 금융부채증가(감소) 0.0 0.0 0.0 0.0 0.0

ROE 20.0 22.0 20.1 20.9 19.5 자본증가(감소) 0.0 0.0 (0.0) 0.0 0.0

ROA 18.6 20.5 18.8 19.6 18.4 기타재무활동 0.0 0.0 0.0 (4.8) (0.2)

ROIC 59.0 52.9 42.6 38.9 37.5 배당지급 (6.4) (7.2) (8.0) (8.4) (10.6)

부채비율 7.5 7.2 6.1 6.6 5.7 현금의 증감 11.4 0.6 (1.3) 1.1 0.1

순부채비율 (58.8) (51.4) (51.5) (50.5) (48.1) Unlevered CFO 28.6 33.5 35.7 42.2 46.6

이자보상배율(배) N/A N/A N/A N/A N/A Free Cash Flow 9.5 13.6 9.8 22.7 16.0

자료: 하나금융투자

Page 98: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

98

2016년 11월 2일

ISC (095340)

메모리 반도체 테스트에 강점 보유

관심종목

Not Rated

CP(11월1일): 17,250원

Key Data Consensus Data

KOSDAQ 지수 (pt) 626.38 2016 2017

52주 최고/최저(원) 29,497/16,800 매출액(십억원) 105.4 126.9

시가총액(십억원) 223.5 영업이익(십억원) 20.1 27.5

시가총액비중(%) 0.11 순이익(십억원) 13.5 19.5

발행주식수(천주) 12,957.1 EPS(원) 1,254 1,682

60일 평균 거래량(천주) 59.5 BPS(원) 11,869 13,388

60일 평균 거래대금(십억원) 1.3

16년 배당금(예상,원) 250 Stock Price

16년 배당수익률(예상,%) 1.45

50

60

70

80

90

100

110

120

130

15

17

19

21

23

25

27

29

31

15.10 16.1 16.4 16.7 16.10

(천원) ISC(좌) 상대지수(우)

외국인지분율(%) 13.99

주요주주 지분율(%)

정영배 외 6 인 39.52

미래에셋자산운용 외 2 인 8.04

주가상승률 1M 6M 12M

절대 (20.1) (31.1) (32.4)

상대 (13.1) (23.1) (26.2)

Financial Data

투자지표 단위 2011 2012 2013 2014 2015

매출액 십억원 43.8 53.1 56.8 74.0 99.0

영업이익 십억원 15.1 18.4 20.3 17.2 15.7

세전이익 십억원 17.6 14.1 14.8 14.0 10.6

순이익 십억원 12.4 10.3 10.4 10.5 10.6

EPS 원 1,140 947 958 950 853

증감률 % N/A (16.9) 1.2 (0.8) (10.2)

PER 배 5.31 7.91 12.37 27.45 33.58

PBR 배 0.91 1.02 1.43 2.80 2.58

EV/EBITDA 배 3.03 3.02 4.72 13.00 12.77

ROE % 36.91 14.52 13.02 10.74 8.37

BPS 원 6,627 7,325 8,302 9,328 11,097

DPS 원 400 400 300 500 250

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

회사 개요

ISC는 반도체 후공정 테스트에 필요한 Silicone Rubber

Socket을 공급하는 업체이다. 메모리 및 모바일 IC 테스트 소

켓이 주력 제품이며, 2015년 매출 비중이 96%에 달한다. 메모

리 반도체 향 비중이 50% 이상인 것으로 파악된다. 삼성전자,

SK하이닉스 외 해외 고객사까지 고객사 다각화를 진행 중이

다. 2014년 일본 테스트 소쳇 업체인 JMT를 인수 하였다.

주요 관전포인트

1) 국내 메모리 업체향 공급 여부: ISC는 기존 테스트용 소켓

업체들과는 달리 실리콘 러버를 이용한 소켓을 제공중에 있

다. 대부분의 고객사들은 기존의 금속 핀 소켓을 사용중인

것으로 파악되는데, 향후 차별화된 제품을 통해 얼마만큼

대체될 수 있을지가 중요하다. 현재는 미국의 메모리 반도

체 업체향으로 제품을 공급중에 있으며, 국내 업체향으로도

샘플 테스트가 긍적적으로 진행된다면, 외형성장의 기틀을

마련할 것으로 기대된다.

2) 자회사 노이즈 제거: 2015년말 자회사 GEMES가 보유했

던 장비를 연구소 및 학교에 기증하며 감가상각이 종료되었

다. 또한 16년 1분기에는 자회사 BH Food도 연결 대상에

서 제외되었다. 향후 실적부터는 회사의 본업과 무관한 부

분에서의 영향이 배제될 것이기 때문에 회사의 본 가치에

집중할 수 있을 것으로 전망된다.

투자 판단

테스트 소켓은 소모품으로서 반도체 시장의 성장에 동승할 수

있는 부품이다. ISC도 테스크 소켓에서 차별화된 제품 경쟁력

을 보유하고 있기 때문에 업황의 흐름과 궤를 함께 할 가능성

이 높다. 다만, 여러가지 자회사 이슈가 붉어졌었고, 그에 따른

실적에 대한 신뢰도가 상대적으로 높지 않은 것으로 파악된다.

2016년을 기점으로 자회사 이슈가 정리될 것으로 예상되며,

향후 고객사 확대를 통한 실적 상향이 이루어진다면, 저점 매

수의 기회가 올 것으로 판단된다.

Page 99: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

99

표 1. 실적 추이 (단위: 십억원)

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 2014 2015

매출액 15.1 22.1 18.5 18.4 19.9 24.4 28.5 26.3 24.1 22.8 74.0 99.0

Test Socket 14.4 21.2 17.6 17.4 18.9 23.1 27.6 24.8 23.1 22.2 70.6 94.5

Pusher 0.1 0.3 0.1 0.2 0.2 0.5 0.2 0.3 0.3 0.5 0.8 1.2

기타 0.6 0.5 0.8 0.7 0.7 0.8 0.7 1.2 0.7 0.0 2.6 3.4

영업이익 5.3 8.5 4.1 -0.6 2.8 5.5 6.6 0.8 4.6 1.9 17.2 15.7

QoQ 6.2% 58.4% -52.1% 적전 흑전 95.7% 19.4% -88.0% 484.8% -58.0%

YoY 24.2% 40.8% -17.8% 적전 -47.2% -34.8% 62.7% 흑전 63.8% -64.9% -15% -9%

영업이익률 35.5% 38.3% 21.8% -3.4% 14.2% 22.7% 23.1% 3.0% 19.1% 8.5% 23.3% 15.9%

주:발표 회계기준의 변경(개별)으로 과거 실적 상이할 수 있음

자료: 하나금융투자

그림 1. 매출 비중 그림 2. 주요 제품 – Test Socket

Test Socket

96%

Pusher

1%

기타

3%

자료: 하나금융투자 자료: 하나금융투자

그림 3. 경쟁사 현황 그림 4. Valuation

테스트서비스/테스트소켓

Amkor

SPIL

리 노공업

ISC

마 이크로컨텍솔

0

10,000

20,000

30,000

40,000

50,000

60,000

08.1 09.1 10.1 11.1 12.1 13.1 14.1 15.1 16.1

수정주가 3.8x 2.9x

2.0x 1.1x 0.3x

(원)

자료: 하나금융투자 자료: 하나금융투자

Page 100: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

100

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

매출액 43.8 53.1 56.8 74.0 99.0 유동자산 29.2 31.6 40.8 56.7 71.8

매출원가 18.3 23.4 24.4 39.8 63.3 금융자산 20.3 21.6 27.5 34.5 43.8

매출총이익 25.5 29.7 32.4 34.2 35.7 현금성자산 13.1 15.0 22.6 27.6 40.1

판관비 10.5 11.3 12.2 16.9 20.0 매출채권 등 6.7 7.1 8.3 12.7 16.8

영업이익 15.1 18.4 20.3 17.2 15.7 재고자산 1.6 2.1 3.2 7.1 8.5

금융손익 0.2 0.4 0.1 (0.7) (2.2) 기타유동자산 0.6 0.8 1.8 2.4 2.7

종속/관계기업손익 4.1 (4.0) (5.0) (2.4) (0.0) 비유동자산 54.7 57.5 64.6 120.5 123.5

기타영업외손익 (1.8) (0.7) (0.6) (0.2) (2.9) 투자자산 22.2 21.3 24.0 1.8 3.5

세전이익 17.6 14.1 14.8 14.0 10.6 금융자산 3.1 2.5 2.9 1.8 3.3

법인세 5.2 3.8 4.3 5.9 6.1 유형자산 19.0 20.7 21.2 95.0 91.1

계속사업이익 12.4 10.3 10.5 8.1 4.5 무형자산 2.9 2.9 2.7 7.7 8.7

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 10.6 12.6 16.7 16.0 20.2

당기순이익 12.4 10.3 10.5 8.1 4.5 자산총계 83.8 89.1 105.4 177.2 195.3

비지배주주지분 순이익 0.0 0.0 0.1 (2.4) (6.1) 유동부채 14.7 12.1 10.5 27.4 30.4

지배주주순이익 12.4 10.3 10.4 10.5 10.6 금융부채 6.6 5.0 1.6 15.8 16.3

지배주주지분포괄이익 12.4 10.5 10.5 9.6 11.0 매입채무 등 4.2 4.5 5.8 7.9 11.5

NOPAT 10.7 13.5 14.4 10.0 6.7 기타유동부채 3.9 2.6 3.1 3.7 2.6

EBITDA 16.9 21.0 22.6 24.3 28.1 비유동부채 1.8 2.1 9.3 20.5 7.9

성장성(%) 금융부채 0.4 0.2 7.3 15.2 3.5

매출액증가율 N/A 21.2 7.0 30.3 33.8 기타비유동부채 1.4 1.9 2.0 5.3 4.4

NOPAT증가율 N/A 26.2 6.7 (30.6) (33.0) 부채총계 16.6 14.2 19.8 47.9 38.3

EBITDA증가율 N/A 24.3 7.6 7.5 15.6 지배주주지분 67.3 74.9 85.5 110.0 143.7

영업이익증가율 N/A 21.9 10.3 (15.3) (8.7) 자본금 3.8 3.8 3.8 5.6 6.4

(지배주주)순익증가율 N/A (16.9) 1.0 1.0 1.0 자본잉여금 31.7 31.7 34.8 45.1 72.7

EPS증가율 N/A (16.9) 1.2 (0.8) (10.2) 자본조정 (4.9) (4.9) (4.9) (0.0) (0.0)

수익성(%) 기타포괄이익누계액 0.0 0.0 (0.0) (0.1) 0.2

매출총이익률 58.2 55.9 57.0 46.2 36.1 이익잉여금 36.6 44.2 51.9 59.4 64.4

EBITDA이익률 38.6 39.5 39.8 32.8 28.4 비지배주주지분 0.0 0.0 0.1 19.3 13.2

영업이익률 34.5 34.7 35.7 23.2 15.9 자본총계 67.3 74.9 85.6 129.3 156.9

계속사업이익률 28.3 19.4 18.5 10.9 4.5 순금융부채 (13.3) (16.3) (18.6) (3.5) (24.0)

투자지표 현금흐름표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

주당지표(원) 영업활동 현금흐름 15.2 15.6 17.4 13.7 18.2

EPS 1,140 947 958 950 853 당기순이익 12.4 10.3 10.5 8.1 4.5

BPS 6,627 7,325 8,302 9,328 11,097 조정 2.3 7.0 9.3 15.0 16.2

CFPS 1,659 1,958 2,164 2,459 2,233 감가상각비 1.8 2.6 2.4 7.1 12.3

EBITDAPS 1,555 1,931 2,077 2,199 2,254 외환거래손익 0.2 0.6 0.2 0.6 0.3

SPS 4,024 4,870 5,216 6,694 7,952 지분법손익 (4.1) 4.0 5.0 2.4 0.0

DPS 400 400 300 500 250 기타 4.4 (0.2) 1.7 4.9 3.6

주가지표(배) 영업활동 자산부채 변동 0.5 (1.7) (2.4) (9.4) (2.5)

PER 5.3 7.9 12.4 27.4 33.6 투자활동 현금흐름 (13.7) (8.4) (13.1) (29.0) (12.7)

PBR 0.9 1.0 1.4 2.8 2.6 투자자산감소(증가) (22.2) 0.9 2.4 24.7 (1.7)

PCFR 3.7 3.8 5.5 10.6 12.8 유형자산감소(증가) (4.0) (4.7) (6.7) (12.0) (11.1)

EV/EBITDA 3.0 3.0 4.7 13.0 12.8 기타 12.5 (4.6) (8.8) (41.7) 0.1

PSR 1.5 1.5 2.3 3.9 3.6 재무활동 현금흐름 4.6 (4.9) 3.4 20.1 7.0

재무비율(%) 금융부채증가(감소) 7.0 (1.8) 3.6 22.2 (11.2)

ROE 36.9 14.5 13.0 10.7 8.4 자본증가(감소) 35.6 0.0 3.0 12.2 28.4

ROA 29.6 11.9 10.7 7.4 5.7 기타재무활동 (35.8) (0.2) (0.3) (12.1) (4.6)

ROIC 81.1 47.3 45.7 13.3 5.7 배당지급 (2.2) (2.9) (2.9) (2.2) (5.6)

부채비율 24.6 19.0 23.2 37.1 24.4 현금의 증감 6.1 1.9 7.6 5.0 12.5

순부채비율 (19.7) (21.8) (21.8) (2.7) (15.3) Unlevered CFO 18.1 21.3 23.6 27.2 27.8

이자보상배율(배) 84.4 79.4 60.6 14.1 13.3 Free Cash Flow 11.1 10.9 10.6 1.6 3.8

자료: 하나금융투자

Page 101: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

101

2016년 11월 2일

원익QnC (074600)

성장의 발판을 마련하다

관심종목

Not Rated

CP(11월1일): 15,900원

Key Data Consensus Data

KOSDAQ 지수 (pt) 684.33 2016 2017

52주 최고/최저(원) 19,550/13,500 매출액(십억원) 145.1 173.3

시가총액(십억원) 237.2 영업이익(십억원) 20.8 27.2

시가총액비중(%) 0.11 순이익(십억원) 17.2 23.2

발행주식수(천주) 13,144.0 EPS(원) 1,283 1,736

60일 평균 거래량(천주) 56.9 BPS(원) 9,224 10,993

60일 평균 거래대금(십억원) 1.0

16년 배당금(예상,원) 0 Stock Price

16년 배당수익률(예상,%) 0.00

60

70

80

90

100

110

120

130

12

13

14

15

16

17

18

19

20

15.10 16.1 16.4 16.7 16.10

(천원) 원익QnC(좌)

상대지수(우)

외국인지분율(%) 4.39

주요주주 지분율(%)

이용한 40.35

주가상승률 1M 6M 12M

절대 1.1 22.0 10.7

상대 0.4 23.7 11.5

Financial Data

투자지표 단위 2011 2012 2013 2014 2015

매출액 십억원 150.2 120.1 122.7 120.8 140.2

영업이익 십억원 24.1 13.3 12.2 15.1 20.3

세전이익 십억원 21.5 8.0 9.8 11.6 20.8

순이익 십억원 13.5 4.5 6.7 8.1 15.3

EPS 원 1,069 355 524 627 1,169

증감률 % (1.5) (66.8) 47.6 19.7 86.4

PER 배 6.57 17.13 15.80 18.57 14.80

PBR 배 1.28 1.05 1.32 1.72 2.19

EV/EBITDA 배 5.39 7.53 9.29 9.46 9.96

ROE % 20.36 6.30 8.65 9.62 15.98

BPS 원 5,483 5,791 6,271 6,779 7,890

DPS 원 0 0 0 0 0

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

회사 개요

원익QnC는 쿼츠(SiO2: 석영), 세라믹(알루미나 등) 소재 기반

의 반도체 웨이퍼 및 디스플레이 기판 공정용 소모품 부품 생

산과 세정 사업을 영위하는 업체이다. 2015년 매출액 기준으

로 쿼츠 제품의 비중이 83%를 기록했다. 쿼츠는 석영유리로

반도체 제조중에 산화, 식각, 화학증착 공정에서 웨이퍼를 불

순물로부터 보호하는 용기로 사용된다. 주요 고객사인 삼성전

자, SK하이닉스와 LAM, Tokyo Electron 등 글로벌 반도체

기업에도 제품을 공급하고 있다.

주요 관전포인트

1) 주력 제품 쿼츠는 고객사 투자 증가로 성장 가능: 삼성전자

의 3D NAND 투자확대와 해외 고객사향 매출 증대로 인해

향후 성장성이 양호할 것으로 판단된다. 삼성전자의 평택공

장 증설과 대만 및 북미지역 주요 고객사향으로 수요가 증

가할 것으로 전망된다.

2) 신규매출 가능성에 주목: 세라믹 제품은 OLED 증설에 따

른 중장기 성장 가기성이 높아졌다. 차세대 성장동력으로

기대되고 있는 것은 실리콘 카바이드이다. 이는 반도체의

식각 공정에 사용되는 소모성 제품이다. 기존 제품보다 경

도가 높아 내구성이 양호해 고객사 입장에서 원가 절감이

가능하다. 최근에 수요가 증가중인 것으로 파악되며 원익

QnC도 이를 위해 고객사 승인을 진행중이다. 연말 내로 승

인이 완료되면 2017년부터 새로운 성장동력이 될 것으로

기대된다.

투자 판단

2016년 컨센서스 기준 PER 11.8배로 반도체용 소재, 재료 업

체보다 저평가되어 있어 가격적인 부담은 없은 것으로 보여진

다. 반도체와 디스플레이 증설에 의한 실적 증가 가시성은 높

은 것으로 판단된다. 신규 제품의 공급으로 주가 상승이 가능

할 것으로 기대된다.

Page 102: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

102

표 1. 실적 추이 (단위: 십억원)

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 2014 2015

매출액 32.5 29.1 28.7 30.4 34.6 34.2 37.6 33.9 34.3 34.6 120.8 140.2

쿼츠웨어 생산 27.0 23.5 24.1 25.3 28.5 28.8 31.1 28.0 28.1 30.3 99.8 116.3

반도체용 세라믹 4.0 4.1 3.9 4.3 4.6 4.7 4.8 4.2 4.1 3.9 16.4 18.3

석영세라믹 3.1 3.1 2.7 3.1 3.1 3.1 4.4 3.7 4.0 3.9 12.0 14.3

기타 0.2 0.1 0.2 0.4 0.2 0.1 0.1 0.2 0.2 0.2 1.0 0.6

영업이익 4.8 4.1 3.1 3.0 5.7 6.3 6.6 1.7 5.0 5.0 15.1 20.3

QoQ 95.5% -15.7% -22.9% -3.8% 89.7% 9.2% 5.1% -74.5% 199.4% -1.6%

YoY 103.8% 35.7% -28.3% 22.3% 18.6% 53.8% 109.6% -44.4% -12.2% -20.9% 23% 34%

영업이익률 14.9% 14.0% 10.9% 9.9% 16.6% 18.3% 17.5% 5.0% 14.7% 14.3% 12.5% 14.4%

자료: 하나금융투자

그림 1. 매출 비중 그림 2. 원익 QnC 실적 추이

쿼츠웨어

생산

83%

반도체용

세라믹 물질

13%

석영세라믹

4%

0%

2%

4%

6%

8%

10%

12%

14%

16%

18%

20%

0

5

10

15

20

25

30

35

40

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16

매출액 영업이익률(우)(십억원)

자료: 하나금융투자 자료: 하나금융투자

그림 3. 주요 제품 – 쿼츠웨어 그림 4. Valuation

Process tube Outer/Inner tube

0

5,000

10,000

15,000

20,000

25,000

30,000

08.1 09.1 10.1 11.1 12.1 13.1 14.1 15.1 16.1

수정주가 2.4x 1.9x

1.4x 0.9x 0.4x

(원)

자료: 하나금융투자 자료: 하나금융투자

Page 103: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

103

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

매출액 150.2 120.1 122.7 120.8 140.2 유동자산 63.3 52.6 52.9 52.4 55.5

매출원가 107.4 88.2 90.1 85.0 97.9 금융자산 9.3 7.2 8.8 10.5 11.0

매출총이익 42.8 31.9 32.6 35.8 42.3 현금성자산 8.2 7.1 8.7 10.5 11.0

판관비 18.7 18.6 20.3 20.7 22.0 매출채권 등 20.4 15.0 16.1 15.0 15.2

영업이익 24.1 13.3 12.2 15.1 20.3 재고자산 33.2 29.5 26.5 25.6 28.5

금융손익 (2.2) (2.2) (2.1) (1.8) (1.3) 기타유동자산 0.4 0.9 1.5 1.3 0.8

종속/관계기업손익 (0.4) (2.6) 0.0 (2.6) 1.4 비유동자산 95.7 102.3 112.6 110.4 117.1

기타영업외손익 0.1 (0.4) (0.4) 0.9 0.3 투자자산 31.4 29.0 39.7 36.9 45.6

세전이익 21.5 8.0 9.8 11.6 20.8 금융자산 3.8 1.0 2.9 2.7 3.0

법인세 6.0 3.2 2.4 2.7 4.9 유형자산 57.3 65.4 66.9 67.2 64.9

계속사업이익 15.6 4.8 7.3 8.9 15.9 무형자산 4.4 5.1 5.0 4.9 5.2

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 2.6 2.8 1.0 1.4 1.4

당기순이익 15.6 4.8 7.3 8.9 15.9 자산총계 159.0 154.9 165.5 162.7 172.6

비지배주주지분 순이익 2.0 0.3 0.6 0.8 0.6 유동부채 60.6 53.1 59.1 57.9 43.6

지배주주순이익 13.5 4.5 6.7 8.1 15.3 금융부채 42.4 40.2 45.8 44.0 27.9

지배주주지분포괄이익 14.1 3.0 6.1 5.6 14.7 매입채무 등 13.7 10.6 11.7 11.6 12.3

NOPAT 17.4 7.9 9.2 11.6 15.5 기타유동부채 4.5 2.3 1.6 2.3 3.4

EBITDA 27.4 17.9 17.6 20.8 26.4 비유동부채 19.9 19.6 16.2 8.2 16.7

성장성(%) 금융부채 16.4 15.4 11.3 3.0 9.8

매출액증가율 34.2 (20.0) 2.2 (1.5) 16.1 기타비유동부채 3.5 4.2 4.9 5.2 6.9

NOPAT증가율 180.6 (54.6) 16.5 26.1 33.6 부채총계 80.6 72.7 75.3 66.1 60.2

EBITDA증가율 132.2 (34.7) (1.7) 18.2 26.9 지배주주지분 69.5 73.4 81.0 88.4 103.4

영업이익증가율 186.9 (44.8) (8.3) 23.8 34.4 자본금 6.3 6.3 6.5 6.5 6.6

(지배주주)순익증가율 (1.5) (66.7) 48.9 20.9 88.9 자본잉여금 15.8 15.8 17.7 18.5 19.1

EPS증가율 (1.5) (66.8) 47.6 19.7 86.4 자본조정 0.7 1.5 1.1 0.8 0.6

수익성(%) 기타포괄이익누계액 1.5 0.4 0.2 (1.7) (0.8)

매출총이익률 28.5 26.6 26.6 29.6 30.2 이익잉여금 45.1 49.3 55.6 64.2 78.0

EBITDA이익률 18.2 14.9 14.3 17.2 18.8 비지배주주지분 8.9 8.9 9.2 8.2 9.0

영업이익률 16.0 11.1 9.9 12.5 14.5 자본총계 78.4 82.3 90.2 96.6 112.4

계속사업이익률 10.4 4.0 5.9 7.4 11.3 순금융부채 49.5 48.5 48.3 36.5 26.6

투자지표 현금흐름표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

주당지표(원) 영업활동 현금흐름 19.2 17.6 15.4 21.5 20.2

EPS 1,069 355 524 627 1,169 당기순이익 0.0 0.0 0.0 0.0 0.0

BPS 5,483 5,791 6,271 6,779 7,890 조정 22.7 12.3 14.5 17.8 24.0

CFPS 1,820 1,335 1,308 1,498 1,770 감가상각비 3.3 4.6 5.4 5.7 6.1

EBITDAPS 2,160 1,410 1,385 1,600 2,011 외환거래손익 0.1 0.3 1.0 0.9 0.8

SPS 11,853 9,478 9,632 9,301 10,696 지분법손익 0.4 2.6 (0.0) 2.6 (1.4)

DPS 0 0 0 0 0 기타 18.9 4.8 8.1 8.6 18.5

주가지표(배) 영업활동 자산부채 변동 (3.5) 5.3 0.9 3.7 (3.8)

PER 6.6 17.1 15.8 18.6 14.8 투자활동 현금흐름 (24.6) (14.5) (15.5) (6.0) (10.6)

PBR 1.3 1.0 1.3 1.7 2.2 투자자산감소(증가) 14.1 2.4 (10.7) 2.8 (8.8)

PCFR 3.9 4.6 6.3 7.8 9.8 유형자산감소(증가) (22.6) (14.1) (7.5) (6.1) (3.2)

EV/EBITDA 5.4 7.5 9.3 9.5 10.0 기타 (16.1) (2.8) 2.7 (2.7) 1.4

PSR 0.6 0.6 0.9 1.3 1.6 재무활동 현금흐름 6.9 (3.8) 1.9 (13.5) (9.3)

재무비율(%) 금융부채증가(감소) 5.9 (3.1) 1.4 (10.1) (9.4)

ROE 20.4 6.3 8.6 9.6 16.0 자본증가(감소) (0.3) 0.0 2.1 0.8 0.7

ROA 8.9 2.9 4.2 5.0 9.1 기타재무활동 1.3 (0.7) (1.6) (2.6) (0.6)

ROIC 19.4 7.5 8.7 11.2 15.1 배당지급 0.0 0.0 0.0 (1.6) 0.0

부채비율 102.8 88.3 83.5 68.5 53.6 현금의 증감 1.3 (1.1) 1.6 1.7 0.5

순부채비율 63.2 58.9 53.5 37.8 23.7 Unlevered CFO 23.1 16.9 16.7 19.5 23.2

이자보상배율(배) 8.9 5.0 5.7 9.5 20.9 Free Cash Flow (3.5) 3.3 7.9 14.1 16.9

자료: 하나금융투자

Page 104: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

104

2016년 11월 2일

엘오티베큠 (083310)

디스플레이 진출로 경쟁력 입증

관심종목

Not Rated

CP(11월1일): 13,550원

Key Data Consensus Data

KOSDAQ 지수 (pt) 624.68 2016 2017

52주 최고/최저(원) 18,350/7,881 매출액(십억원) 136.3 216.4

시가총액(십억원) 161.6 영업이익(십억원) 13.2 34.8

시가총액비중(%) 0.08 순이익(십억원) 10.9 29.0

발행주식수(천주) 12,011.9 EPS(원) 837 2,140

60일 평균 거래량(천주) 101.2 BPS(원) 6,968 9,240

60일 평균 거래대금(십억원) 1.6

16년 배당금(예상,원) 0 Stock Price

16년 배당수익률(예상,%) 0.00

50

70

90

110

130

150

170

190

7

9

11

13

15

17

19

15.10 16.1 16.4 16.7 16.10

(천원)엘오티베큠(좌)

상대지수(우)

외국인지분율(%) 1.03

주요주주 지분율(%)

오흥식 외 3 인 29.80

고려용접봉 외 3 인 9.75

주가상승률 1M 6M 12M

절대 (18.5) (2.9) 36.9

상대 (11.1) 8.8 49.8

Financial Data

투자지표 단위 2011 2012 2013 2014 2015

매출액 십억원 66.7 72.6 83.8 88.5 123.9

영업이익 십억원 4.7 4.9 3.6 5.7 10.3

세전이익 십억원 4.2 5.2 3.5 6.2 11.0

순이익 십억원 3.9 4.9 3.1 4.9 8.2

EPS 원 324 410 262 409 682

증감률 % N/A 26.5 (36.1) 56.1 66.7

PER 배 11.02 9.48 21.84 10.15 13.34

PBR 배 0.83 0.82 1.14 0.76 1.49

EV/EBITDA 배 5.96 6.08 9.69 4.15 7.35

ROE % 15.61 9.42 5.47 7.90 11.98

BPS 원 4,317 4,729 5,023 5,433 6,128

DPS 원 0 0 0 0 0

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

회사 개요

엘오티베큠은 진공 펌프 제조업체로, 반도체, 디스플레이 등의

공정에서 생기는 부산물을 제거해 주는 장비를 공급하고 있다.

반도체 장비가 주력으로, 삼성전자, SK하이닉스에 공급하고

있으며 디스플레이 공정향 장비도 진출하여 국내 디스플레이

업체로 납품하고 있다. 자회사로는 가공 부품을 제조하는 지엠

티와 진공펌프용 플라즈마 시스템 사업을 영위하는 클린팩터스

가 있다.

주요 관전포인트

1) 반도체 투자에 따른 수혜: 삼성전자의 평택 라인 투자로 인

해서 16년 하반기 및 2017년 양호한 실적을 달성할 것으로

전망된다. 뿐만 아니라 SK하이닉스의 3D NAND 투자도

진행될 가능성이 높기 때문에 전년대비 양호한 실적 달성할

것으로 예상된다.

2) 디스플레이로 포트폴리오 다변화: 16년 하반기부터 디스플

레이용 공급이 이루어진 것으로 파악된다. 디스플레이 장비

는 반도보다 규모가 큰 장비가 필요해 상대적으로 고가의

장비이다. 금번 공급 건으로 제품 포트폴리오 및 전방산업

의 다변화가 가능하기 때문에 실적 상향 여력을 확보했다는

측면에서 긍정적이다.

3) 친환경 이슈 있는 산업이 중장기 먹거리: 반도체, 디스플레

이, 태양광 등의 산업에서 진공 환경 제공 및 부산물 제거에

필요한 펌프를 공급중이다. 최근에 글로벌 친환경 이슈가

붉어지는 만큼 철강, 화학 등의 산업에서도 해당 장비의 필

요성이 제기될 가능성이 높다.

투자 판단

2016년 하반기부터 2017년 혹은 2018년까지 NAND 투자가

지속될 예정이다. 그에 따른 수혜가 기대되는데, 추가적으로

디스플레이용 장비 공급에 성공했다. 디스플레이 장비의 경우

에도 2017년까지 투자가 진행될 가능성이 높고, 그에 따라 동

사에게는 기회요인이 될 개연성이 높다. 글로벌 상위 업체인

일본의 Ebara의 PER이 16배이기 때문에 가격적인 부담은 없

다는 판단이다.

Page 105: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

105

표 1. 실적 추이 (단위: 십억원)

1Q14 2Q14 3Q14 4Q14 1Q15 2Q15 3Q15 4Q15 1Q16 2Q16 2014 2015

매출액 26.8 14.8 15.5 31.4 46.8 27.7 33.5 15.9 30.5 18.6 88.5 123.9

반도체용 진공펌프 18.4 6.4 8.9 21.1 34.8 19.2 27.3 6.8 20.9 9.0 54.9 88.1

플라즈마 전처리 1.2 2.1 0.6 3.1 4.2 1.4 — 2.7 2.5 1.7 7.0 8.4

유지 보수 7.1 6.3 6.0 7.2 7.7 7.1 6.2 6.5 7.1 7.9 26.5 27.5

영업이익 2.3 0.1 -0.4 3.7 8.9 2.2 2.8 -3.6 3.6 -1.4 5.7 10.3

QoQ -44.5% -96.9% 적전 흑전 137.7% -74.8% 23.7% 적전 흑전 적전

YoY 393.5% 40.0% 적지 -8.6% 291.6% 3100.0% 흑전 적전 -60.1% 적전 57% 80%

영업이익률 8.5% 0.5% -2.5% 11.9% 19.0% 8.1% 8.3% -22.7% 11.6% -7.6% 6.4% 8.3%

자료: 하나금융투자

그림 1. 매출 비중 그림 2. 주요 제품 – 진공 펌프

반도체용

진공펌프

71%

플라즈마전처

리 시스템

7%

유지 보수

22%

DD105 Series

자료: 하나금융투자 자료: 하나금융투자

그림 3. 경쟁사 현황 그림 4. Valuation

Gas 배관/특수설비

한 양이엔지(480*)

엘오티베큠(122)

0

5,000

10,000

15,000

20,000

25,000

08.1 09.1 10.1 11.1 12.1 13.1 14.1 15.1 16.1

수정주가 2.4x 1.9x

1.4x 0.9x 0.4x

(원)

주: 괄호 안 매출 규모 단위는 백만달러, 2015년 기준

주1: (*) 품목별 매출액 Data 아님, 사업부(반도체 향) 매출액

주2: (**) 품목별 매출액 Data 아님, 사업부(IT향 or 산업용) 매출액

자료: 하나금융투자

자료: 하나금융투자

Page 106: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

106

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

매출액 66.7 72.6 83.8 88.5 123.9 유동자산 34.5 27.5 37.9 43.4 36.9

매출원가 47.7 50.1 61.0 64.4 89.0 금융자산 3.9 1.5 8.2 13.8 8.6

매출총이익 19.0 22.5 22.8 24.1 34.9 현금성자산 3.3 0.8 3.7 13.6 5.1

판관비 14.2 17.6 19.1 18.4 24.6 매출채권 등 9.1 5.0 7.9 11.0 7.3

영업이익 4.7 4.9 3.6 5.7 10.3 재고자산 21.4 20.7 21.1 17.6 20.5

금융손익 0.2 0.3 0.0 0.2 0.1 기타유동자산 0.1 0.3 0.7 1.0 0.5

종속/관계기업손익 0.0 0.0 0.0 0.0 0.0 비유동자산 28.7 37.3 39.7 42.2 55.6

기타영업외손익 (0.7) (0.0) (0.1) 0.4 0.6 투자자산 1.4 1.6 1.7 1.9 2.0

세전이익 4.2 5.2 3.5 6.2 11.0 금융자산 1.4 1.6 1.7 1.9 2.0

법인세 0.4 0.2 0.3 0.7 2.3 유형자산 20.2 26.7 27.7 28.3 36.7

계속사업이익 3.9 4.9 3.2 5.5 8.6 무형자산 4.7 6.0 6.8 8.1 12.2

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 2.4 3.0 3.5 3.9 4.7

당기순이익 3.9 4.9 3.2 5.5 8.6 자산총계 63.2 64.8 77.6 85.5 92.5

비지배주주지분 순이익 0.0 0.0 0.1 0.6 0.4 유동부채 10.6 7.4 14.2 17.3 14.0

지배주주순이익 3.9 4.9 3.1 4.9 8.2 금융부채 2.8 0.0 0.0 0.0 0.0

지배주주지분포괄이익 3.9 4.9 3.0 4.9 8.4 매입채무 등 7.3 6.1 12.7 16.7 11.9

NOPAT 4.3 4.7 3.3 5.1 8.1 기타유동부채 0.5 1.3 1.5 0.6 2.1

EBITDA 6.8 7.3 6.1 8.5 13.2 비유동부채 2.7 2.6 2.9 3.2 4.7

성장성(%) 금융부채 0.0 0.0 0.0 0.0 0.0

매출액증가율 N/A 8.8 15.4 5.6 40.0 기타비유동부채 2.7 2.6 2.9 3.2 4.7

NOPAT증가율 N/A 9.3 (29.8) 54.5 58.8 부채총계 13.3 10.0 17.1 20.5 18.7

EBITDA증가율 N/A 7.4 (16.4) 39.3 55.3 지배주주지분 49.9 54.8 60.3 64.2 72.6

영업이익증가율 N/A 4.3 (26.5) 58.3 80.7 자본금 5.2 5.3 5.5 5.6 5.7

(지배주주)순익증가율 N/A 25.6 (36.7) 58.1 67.3 자본잉여금 11.8 11.8 12.3 12.3 12.3

EPS증가율 N/A 26.5 (36.1) 56.1 66.7 자본조정 (2.0) (2.0) (0.0) (1.0) (1.0)

수익성(%) 기타포괄이익누계액 0.0 (0.0) (0.0) 0.1 0.2

매출총이익률 28.5 31.0 27.2 27.2 28.2 이익잉여금 34.9 39.7 42.6 47.3 55.4

EBITDA이익률 10.2 10.1 7.3 9.6 10.7 비지배주주지분 0.0 0.0 0.2 0.8 1.2

영업이익률 7.0 6.7 4.3 6.4 8.3 자본총계 49.9 54.8 60.5 65.0 73.8

계속사업이익률 5.8 6.7 3.8 6.2 6.9 순금융부채 (1.0) (1.5) (8.2) (13.8) (8.6)

투자지표 현금흐름표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

주당지표(원) 영업활동 현금흐름 (1.1) 8.1 4.1 15.8 9.6

EPS 324 410 262 409 682 당기순이익 3.9 4.9 3.2 5.5 8.6

BPS 4,317 4,729 5,023 5,433 6,128 조정 6.3 6.7 7.8 5.7 9.2

CFPS 836 948 965 1,003 1,530 감가상각비 2.1 2.3 2.5 2.8 2.9

EBITDAPS 566 607 509 710 1,097 외환거래손익 0.1 0.1 0.1 0.2 0.4

SPS 5,549 6,046 6,973 7,366 10,313 지분법손익 0.0 0.0 0.0 0.0 0.0

DPS 0 0 0 0 0 기타 4.1 4.3 5.2 2.7 5.9

주가지표(배) 영업활동 자산부채 변동 (11.3) (3.5) (6.9) 4.6 (8.2)

PER 11.0 9.5 21.8 10.2 13.3 투자활동 현금흐름 2.5 (10.4) (3.9) (5.0) (18.1)

PBR 0.8 0.8 1.1 0.8 1.5 투자자산감소(증가) (1.4) (0.2) (0.1) (0.2) (0.1)

PCFR 4.3 4.1 5.9 4.1 5.9 유형자산감소(증가) (5.9) (8.6) (3.2) (3.2) (9.0)

EV/EBITDA 6.0 6.1 9.7 4.1 7.4 기타 9.8 (1.6) (0.6) (1.6) (9.0)

PSR 0.6 0.6 0.8 0.6 0.9 재무활동 현금흐름 (2.1) (0.2) 2.8 (1.0) (0.0)

재무비율(%) 금융부채증가(감소) 2.9 (2.8) (0.0) 0.0 0.0

ROE 15.6 9.4 5.5 7.9 12.0 자본증가(감소) 16.8 0.0 0.5 (0.1) (0.1)

ROA 12.3 7.7 4.4 6.0 9.2 기타재무활동 (21.3) 2.6 2.3 (0.9) 0.1

ROIC 17.7 9.2 6.2 9.8 13.7 배당지급 (0.5) 0.0 0.0 0.0 0.0

부채비율 26.7 18.2 28.3 31.5 25.3 현금의 증감 (0.7) (2.5) 2.9 9.9 (8.5)

순부채비율 (2.1) (2.7) (13.5) (21.3) (11.7) Unlevered CFO 10.0 11.4 11.6 12.0 18.4

이자보상배율(배) 576.7 1,852.6 397.7 N/A N/A Free Cash Flow (7.1) (0.7) (0.1) 12.5 0.4

자료: 하나금융투자

Page 107: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

107

2016년 11월 2일

해성디에스 (195870)

차량용 매출처 확보가 차별화 포인트

관심종목

Not Rated

CP(11월1일): 10,300원

Key Data Consensus Data

KOSPI 지수 (pt) 2,008.19 2016 2017

52주 최고/최저(원) 16,450/10,350 매출액(십억원) 270.0 N/A

시가총액(십억원) 176.0 영업이익(십억원) 30.2 N/A

시가총액비중(%) 0.01 순이익(십억원) 20.8 N/A

발행주식수(천주) 17,000.0 EPS(원) 1,295 N/A

60일 평균 거래량(천주) 86.1 BPS(원) 8,224 N/A

60일 평균 거래대금(십억원) 1.2

16년 배당금(예상,원) 180 Stock Price

16년 배당수익률(예상,%) 1.74

50

60

70

80

90

100

110

120

9

10

11

12

13

14

15

16

17

16.6 16.9

(천원)해성디에스(좌)

상대지수(우)

외국인지분율(%) 0.45

주요주주 지분율(%)

계양전기 외 8 인 37.85

주가상승률 1M 6M 12M

절대 (21.3) 0.0 0.0

상대 (19.9) 0.0 0.0

Financial Data

투자지표 단위 2011 2012 2013 2014 2015

매출액 십억원 0.0 0.0 0.0 165.2 246.0

영업이익 십억원 0.0 0.0 0.0 9.9 18.8

세전이익 십억원 0.0 0.0 0.0 22.0 17.8

순이익 십억원 0.0 0.0 0.0 20.2 14.7

EPS 원 N/A N/A N/A 1,483 979

증감률 % N/A N/A N/A N/A (34.0)

PER 배 N/A N/A N/A N/A N/A

PBR 배 N/A N/A N/A N/A N/A

EV/EBITDA 배 N/A N/A N/A N/A N/A

ROE % N/A N/A N/A N/A 13.20

BPS 원 N/A N/A N/A N/A 7,929

DPS 원 0 0 0 0 0

Analyst 김록호

02-3771-7523

[email protected]

RA 한찬희

02-3771-7775

[email protected]

회사 개요

해성디에스는 반도체 구조재료에 속하는 반도체 Substrate를

공급하는 사업을 영위하는 업체이다. 사업영역은 Lead Frame

사업부와 Package Substrate 사업으로 분류되며, 2016년 상

반기 매출 비중은 Package Substrate가 24%, Lead Frame

이 76%의 비중을 차지했다. 삼성테크윈에서 분사한 사업부를

해성그룹이 인수하면서 기존 고객인 삼성전자 외에도 SK하이

닉스를 신규 거래처로 확보해 고객사 다각화가 이루어졌다.

주요 관전포인트

1) 주력 제품인 Lead Frame은 차량용 매출 높음: Lead

Frame은 이익을 창출하기 어려운 영역이라는 인식이 있

다. 삼성테크윈이 포기했고, LG이노텍도 1년 전에 사업부

축소를 단행했다. 동사는 다수의 글로벌 반도체 업체들을

고객사로 확보해 실적 성장이 지속되고 있다. 특히, Lead

Frame 안에서 30%는 차량용 반도체 업체에 공급중이다.

차량용 반도체 5위 안에 있는 Infineon, NXP, ST Micro

향 매출이 꾸준히 성장중이다. 팔라듐 도금 방식을 이용해

자동차 업체향 신뢰도를 확보한 것으로 파악된다. 우량한

고객사를 기반으로 향후 차량용 매출 성장세는 양호할 것

으로 기대된다.

2) 모바일 진출 위한 성장통 불가피: Package Substrate는

PC와 서버용 DRAM으로 공급중이다. 전방산업의 성장성

이 제한적이기 때문에 모바일 진출을 위해 고층 Package

substrate 양산을 위한 투자를 진행중이다. 투자금액이

500억원으로 감가상각비 부담 가능성이 상존한다.

투자 판단

해성디에스의 16년 3분기 영업이익이 전년동기대비 56% 감소

하며 실적에 대한 우려가 있지만, 매출액은 11% 증가해 펀더

멘탈 이슈는 아니라고 판단된다. 수출 97%의 매출비중과 금과

은 매입으로 인해 구조적인 환율 및 원자재 가격 리스크가 있

다. 다만, 자동차향 안정적인 매출처 확보는 차별화될 수 잇는

포인트라고 판단한다.

Page 108: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

108

표 1. 실적 추이 (단위: 십억원)

1Q16 2Q16 2013 2015 1H16

매출액 67.1 71.8 165.2 246.0 138.9

리드프레임 – ELF 28.7 34.3 63.0

리드프레임 – SLF 21.1 22.1 43.2

Package Substrate 17.2 15.4 32.7

영업이익 8.2 8.4 9.9 18.8 16.6

영업이익률 12.3% 11.6% 6.0% 7.6% 11.9%

자료: 하나금융투자

그림 1. 매출 비중 그림 2. 주요 제품 – 리드프레임

리드프레임 -

ELF

45%리드프레임 -

SLF

31%

Package

Substrate

24%

Shape Forming Plating TaPing Cavity Cutting Pad Down-set GroundringUp-set

자료: 하나금융투자 자료: 하나금융투자

그림 3. 경쟁사 현황 그림 4. 자동차용 반도체시장 규모 전망

패키징 재료

덕 산하이메탈(숄더볼)

엠 케이전자(숄더볼, 본딩와이어)

해성디에스(Substrate)

대 덕전자(Substrate)

0

5

10

15

20

25

30

35

40

45

2014 2015 2016F 2017F 2018F 2019F 2020F

(백만달러)

자료: 하나금융투자 자료: 하나금융투자

Page 109: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

109

추정 재무제표

손익계산서 (단위: 십억원) 대차대조표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

매출액 0.0 0.0 0.0 165.2 246.0 유동자산 0.0 0.0 0.0 93.5 103.4

매출원가 0.0 0.0 0.0 142.7 206.6 금융자산 0.0 0.0 0.0 23.3 39.9

매출총이익 0.0 0.0 0.0 22.5 39.4 현금성자산 0.0 0.0 0.0 23.3 39.9

판관비 0.0 0.0 0.0 12.5 20.6 매출채권 등 0.0 0.0 0.0 41.2 38.0

영업이익 0.0 0.0 0.0 9.9 18.8 재고자산 0.0 0.0 0.0 27.3 24.3

금융손익 0.0 0.0 0.0 (2.3) (2.8) 기타유동자산 0.0 0.0 0.0 1.7 1.2

종속/관계기업손익 0.0 0.0 0.0 0.0 0.0 비유동자산 0.0 0.0 0.0 122.9 125.8

기타영업외손익 0.0 0.0 0.0 14.3 1.8 투자자산 0.0 0.0 0.0 0.1 0.0

세전이익 0.0 0.0 0.0 22.0 17.8 금융자산 0.0 0.0 0.0 0.1 0.0

법인세 0.0 0.0 0.0 1.8 3.1 유형자산 0.0 0.0 0.0 120.9 123.4

계속사업이익 0.0 0.0 0.0 20.2 14.7 무형자산 0.0 0.0 0.0 1.1 1.4

중단사업이익 0.0 0.0 0.0 0.0 0.0 기타비유동자산 0.0 0.0 0.0 0.8 1.0

당기순이익 0.0 0.0 0.0 20.2 14.7 자산총계 0.0 0.0 0.0 216.4 229.2

비지배주주지분 순이익 0.0 0.0 0.0 0.0 0.0 유동부채 0.0 0.0 0.0 48.4 75.1

지배주주순이익 0.0 0.0 0.0 20.2 14.7 금융부채 0.0 0.0 0.0 27.4 28.4

지배주주지분포괄이익 0.0 0.0 0.0 20.1 15.4 매입채무 등 0.0 0.0 0.0 15.7 44.5

NOPAT N/A N/A N/A 9.1 15.5 기타유동부채 0.0 0.0 0.0 5.3 2.2

EBITDA 0.0 0.0 0.0 15.4 28.3 비유동부채 0.0 0.0 0.0 64.5 35.1

성장성(%) 금융부채 0.0 0.0 0.0 33.4 32.3

매출액증가율 N/A N/A N/A N/A 48.9 기타비유동부채 0.0 0.0 0.0 31.1 2.8

NOPAT증가율 N/A N/A N/A N/A 70.3 부채총계 0.0 0.0 0.0 112.8 110.2

EBITDA증가율 N/A N/A N/A N/A 83.8 지배주주지분 0.0 0.0 0.0 103.6 118.9

영업이익증가율 N/A N/A N/A N/A 89.9 자본금 0.0 0.0 0.0 75.0 75.0

(지배주주)순익증가율 N/A N/A N/A N/A (27.2) 자본잉여금 0.0 0.0 0.0 8.5 8.5

EPS증가율 N/A N/A N/A N/A (34.0) 자본조정 0.0 0.0 0.0 0.0 0.0

수익성(%) 기타포괄이익누계액 0.0 0.0 0.0 0.0 0.0

매출총이익률 N/A N/A N/A 13.6 16.0 이익잉여금 0.0 0.0 0.0 20.1 35.5

EBITDA이익률 N/A N/A N/A 9.3 11.5 비지배주주지분 0.0 0.0 0.0 0.0 0.0

영업이익률 N/A N/A N/A 6.0 7.6 자본총계 0.0 0.0 0.0 103.6 118.9

계속사업이익률 N/A N/A N/A 12.2 6.0 순금융부채 0.0 0.0 0.0 37.5 20.7

투자지표 현금흐름표 (단위: 십억원)

2011 2012 2013 2014 2015 2011 2012 2013 2014 2015

주당지표(원) 영업활동 현금흐름 0.0 0.0 0.0 10.4 29.8

EPS N/A N/A N/A 1,483 979 당기순이익 0.0 0.0 0.0 0.0 0.0

BPS N/A N/A N/A 6,905 7,929 조정 0.0 0.0 0.0 18.5 27.3

CFPS N/A N/A N/A 1,333 2,118 감가상각비 0.0 0.0 0.0 5.4 9.5

EBITDAPS N/A N/A N/A 1,127 1,889 외환거래손익 0.0 0.0 0.0 0.3 0.2

SPS N/A N/A N/A 12,115 16,403 지분법손익 0.0 0.0 0.0 0.0 0.0

DPS 0 0 0 0 0 기타 0.0 0.0 0.0 12.8 17.6

주가지표(배) 영업활동 자산부채 변동 0.0 0.0 0.0 (8.1) 2.5

PER N/A N/A N/A N/A N/A 투자활동 현금흐름 0.0 0.0 0.0 (131.2) (13.2)

PBR N/A N/A N/A N/A N/A 투자자산감소(증가) 0.0 0.0 0.0 (0.1) 0.0

PCFR N/A N/A N/A N/A N/A 유형자산감소(증가) 0.0 0.0 0.0 (10.8) (11.8)

EV/EBITDA N/A N/A N/A N/A N/A 기타 0.0 0.0 0.0 (120.3) (1.4)

PSR N/A N/A N/A N/A N/A 재무활동 현금흐름 0.0 0.0 0.0 144.1 (0.1)

재무비율(%) 금융부채증가(감소) 0.0 0.0 0.0 60.8 (0.1)

ROE N/A N/A N/A 39.1 13.2 자본증가(감소) 0.0 0.0 0.0 83.5 0.0

ROA N/A N/A N/A 18.7 6.6 기타재무활동 0.0 0.0 0.0 (0.2) 0.0

ROIC N/A N/A N/A 10.3 9.7 배당지급 0.0 0.0 0.0 0.0 0.0

부채비율 N/A N/A N/A 108.9 92.7 현금의 증감 0.0 0.0 0.0 23.3 16.6

순부채비율 N/A N/A N/A 36.2 17.4 Unlevered CFO 0.0 0.0 0.0 18.2 31.8

이자보상배율(배) N/A N/A N/A 4.0 5.8 Free Cash Flow 0.0 0.0 0.0 (0.4) 18.0

자료: 하나금융투자

Page 110: CONTENTSimgstock.naver.com/upload/research/industry/1478051940639.pdf · 1) 반도체 전공정장비 시장은 336억 달러로 NAND 시장과 대등 15 2) 각 공정별 시장 규모

반도체 소재/장비 Analyst 김록호02-3771-7523

110

투자의견 변동 내역 및 목표주가 추이

솔브레인

0

10,000

20,000

30,000

40,000

50,000

60,000

70,000

80,000

90,000

14.11 15.1 15.3 15.5 15.7 15.9 15.11 16.1 16.3 16.5 16.7 16.9

솔브레인 목표주가(원)

날짜 투자의견 목표주가 날짜 투자의견 목표주가

16.11.2 BUY 84,000

SK머티리얼즈

0

50,000

100,000

150,000

200,000

250,000

14.11 15.1 15.3 15.5 15.7 15.9 15.11 16.1 16.3 16.5 16.7 16.9

SK머티리얼즈 목표주가(원)

날짜 투자의견 목표주가 날짜 투자의견 목표주가

16.11.2 BUY 200,000

투자등급 관련사항 및 투자의견 비율공시

투자의견의 유효기간은 추천일 이후 12개월을 기준으로 적용

기업의 분류

BUY(매수)_목표주가가 현주가 대비 15% 이상 상승 여력 Neutral(중립)_목표주가가 현주가 대비 -15%~15% 등락

Reduce(매도)_목표주가가 현주가 대비 15% 이상 하락 가능

산업의 분류

Overweight(비중확대)_업종지수가 현재지수 대비 15% 이상 상승 여력 Neutral(중립)_업종지수가 현재지수 대비 -15%~15% 등락

Underweight(비중축소)_업종지수가 현재지수 대비 -15%~15% 등락

투자등급 BUY(매수) Neutral(중립) Reduce(매도) 합계

금융투자상품의 비율 88.4% 10.3% 1.3% 100.0%

* 기준일: 2016년 10월 31일

Compliance Notice

본 자료를 작성한 애널리스트(김록호)는 자료의 작성과 관련하여 외부의 압력이나 부당한 간섭을 받지 않았으며, 본인의 의견을 정확하게 반영하여 신의성실 하게 작성하였습니다

본 자료는 기관투자가 등 제 3자에게 사전 제공한 사실이 없습니다. 당사는 2016년 11월 2일 현재 해당회사의 지분을 1%이상 보유 하고 있지 않습니다

본 자료를 작성한 애널리스트(김록호)는 2016년 11월 2일 현재 해당 회사의 유가증권을 보유하고 있지 않습니다.

본 조사자료는 고객의 투자에 정보를 제공할 목적으로 작성되었으며, 어떠한 경우에도 무단 복제 및 배포 될 수 없습니다. 또한 본 자료에 수록된 내용은 당사가 신뢰할 만한 자료 및 정보로 얻어진 것이나,

그 정확성이나 완전성을 보장할 수 없으므로 투자자 자신의 판단과 책임하에 최종결정을 하시기 바랍니다. 따라서 어떠한 경우에도 본 자료는 고객의 주식투자의 결과에 대한 법적 책임소재의 증빙자료로 사용될 수 없습니다.