Akoestische link tussen een onderwatervoertuig en een...

103
Akoestische link tussen een onderwatervoertuig en een controlestation Jelle Stuyvaert Promotor: prof. dr. ir. Dick Botteldooren Begeleider: prof. Ir. Aníbal Castilho Coimbra de Matos Scriptie ingediend tot het behalen van de academische graad van Burgerlijk elektrotechnisch ingenieur Vakgroep Informatietechnologie Voorzitter: prof. dr. ir. Paul Lagasse Faculteit Ingenieurswetenschappen Academiejaar 2007-2008

Transcript of Akoestische link tussen een onderwatervoertuig en een...

Page 1: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Akoestische link tussen een onderwatervoertuig en een controlestation

Jelle Stuyvaert

Promotor: prof. dr. ir. Dick Botteldooren Begeleider: prof. Ir. Aníbal Castilho Coimbra de Matos

Scriptie ingediend tot het behalen van de academische graad van Burgerlijk elektrotechnisch ingenieur

Vakgroep Informatietechnologie Voorzitter: prof. dr. ir. Paul Lagasse Faculteit Ingenieurswetenschappen Academiejaar 2007-2008

Page 2: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een
Page 3: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Acknowledgements

The Erasmus student mobility program provided me with the opportunity to do my finalgraduation project abroad, more specifically at the Faculdade de Engenharia da Univer-sidade do Porto (FEUP). This document is the report that describes this project.

Therefore, I would like to thank the institutions and persons who made this projectand the experience possible. First of all, to the Universiteit Gent (UGENT) and Univer-sidade do Porto (UP) for letting me participating in the Erasmus program and for thewarm welcome.

Special thanks go to Mireille Del’haye of the Dienst Internationalisering at the Uni-versiteit Gent, to Ms. Carla Rocha of the Gabinete de Relacoes Exteriores of FEUP andMrs. Rita Sinde of the Gabinete de Relacoes Exteriores of Universidade do Porto for theirdedication. To Prof. Artur Cardoso at the FEUP for his excellent help. To Veerle Jolietand Katrien Mortier of the Facultaire Studentenadministratie at the UGENT for theiradvises and quick answers. To Prof. R. De Keyser for organization and support. To mypromotor, Prof. Dick Botteldooren for the advises and the abundant help.

Very special thanks go to for Prof. Anıbal Castilho Coimbra de Matos for his dedi-cation and continuous support and help, and the good collaboration. Also special thanksgo to Sergio Rui Silva for his help and support concerning the Xilinx System Generatorsoftware and FPGA programmation.

To my family, for their support and financial aid, to the members of my residence, toall my new and old friends from all over the world for the great and wonderful times wehad and to the readers of this report.

Jelle Stuyvaert

i

Page 4: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Toelating tot bruikleen

De auteur geeft toelating deze masterproef voor consultatie beschikbaar te stellen endelen van de masterproef te kopieren voor persoonlijk gebruik. Elk ander gebruik valtonder de beperkingen van het auteursrecht, in het bijzonder met betrekking tot deverplichting de bron uitdrukkelijk te vermelden bij het aanhalen van resultaten uit dezemasterproef.

ii

Page 5: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Abstract

The main goal of the project is the design and implementation of a low powerunderwater acoustic modem. The modem will use an acoustic transducer and drivingelectronics already developed for the transmission of signals for underwater positioning.The modem application was implemented in an FPGA taking advantage of the goodtrade-off between computational power and power consumption of this technology. Thesystem was developed with the help of high level synthesis tools which allow to project,simulate and synthesize the system in an integrated way. A Frequency Shift Keying(FSK) modulation was used to transmit digital signals in the pass band of the acoustictransducer.In a later stage of the project, after the inclusion of a high level communications protocolwith error detection and correction, out of the scope of the current work, this applicationis intended to be used inside an Autonomous Underwater Vehicle (AUV) so that it canreceive and interpret navigation commands sent by a control station, allowing for thechange of the vehicle behavior in real time. Furthermore, this system will allow thevehicle to transmit telemetry data to the control station.

iii

Page 6: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Abstract

Het hoofddoel van dit project is het ontwerp en implementatie van eenlaagvermogensmodem voor onderwatergebruik. De modem zal gebruik maken van eenakoestische transducer en sturingselektronica, reeds ontwikeld voor de transmissie vooronderwater positionering.De modem applicatie werd geımplementeerd in een FPGA. Een FPGA-gebaseerdeoplossing haalt voordeel uit een goede trade-off tussen rekenkracht en verbruik van dezetechnologie. Het systeem werd ontwikkeld met de hulp van hoog-niveau synthesehulpmiddelen die het mogelijk maken het systeem projecteren, simuleren en synthetiserenop een geıntegreerde manier. Een frequentieverschuivingmodulatie werd gebruikt omdigitale signalen in de doorlaatband van de akoestische transducer te verzenden.In een later stadium van het project, na de toevoeging van een hoog-niveaucommunicatieprotocol met foutdetectie en -correctie, wat echter buiten het domein vandit project valt, heeft de applicatie als doel om te worden ingebouwd in een AutonoomOnderwater Voertuig (Autonomous Underwater Vehicle - AUV) om navigatie berichtente ontvangen en interpreteren, wat het mogelijk zal maken de boot te sturen in real time.Verder zal dit systeem ook gebruikt worden om telemetriegegevens naar hetcontrolestation te versturen.

iv

Page 7: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Resumo

O objectivo principal deste trabalho e o projecto e implementacao de um modemacustico de baixo consumo para aplicacoes subaquaticas. Para o efeito foi utilizado umtransdutor acustico, e respectiva electronica, anteriormente desenvolvidos para atransmissao de sinais para posicionamento subaquatico.A implementacao do modem foi realizada recorrendo a uma FPGA (field programmablegate array) tirando partido da boa relacao entre poder de calculo e consumo destatecnologia. O desenvolvimento do sistema foi realizado com o auxılio de ferramentas desıntese de alto nıvel que permitem projectar, simular e sintetizar o sistema de uma formaintegrada. Foi utilizada uma modulacao FSK (frequency shift keying) para a transmissaode sinais digitais na banda passante do transdutor utilizado.No estado mais avancado deste projecto, apos a inclusao de um protocolo decomunicacoes de alto nıvel com deteccao e correccao de erros, ja fora do ambito destetrabalho, pretende-se que o modem possa ser integrado num veıculo submarinoautonomo de forma que este possa receber e interpretar um conjunto predefinido decomandos de navegacao enviados de uma estacao de controlo, sendo assim possıvelalterar em tempo real o comportamento do veıculo. Por outro lado, este sistema permiteainda que o veıculo envie para a estacao de controlo dados de telemetria, essenciais paraa supervisao do seu comportamento.

v

Page 8: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Contents

1 Introduction 11.1 Problem . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11.2 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21.3 Goal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21.4 Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3

2 Underwater Acoustics 42.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42.2 Sonar Engineering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

2.2.1 Brief History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52.2.2 A Sonar Frequencies Convention . . . . . . . . . . . . . . . . . . . . 62.2.3 Acoustic Communications . . . . . . . . . . . . . . . . . . . . . . . . 6

2.3 The physics of Sound Propagation . . . . . . . . . . . . . . . . . . . . . . . 72.3.1 Nature of Sound . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72.3.2 Physical Properties of Matter . . . . . . . . . . . . . . . . . . . . . . 82.3.3 Density . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102.3.4 Elasticity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102.3.5 Bulk Modulus of Elasticity . . . . . . . . . . . . . . . . . . . . . . . 112.3.6 Shear Modulus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122.3.7 Poisson’s Ratio . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132.3.8 Sound Speed . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142.3.9 The Acoustic Version of Ohm’s Law . . . . . . . . . . . . . . . . . . 142.3.10 Sound Speed in Ocean Water . . . . . . . . . . . . . . . . . . . . . . 152.3.11 Sound Reflection and Refraction . . . . . . . . . . . . . . . . . . . . 162.3.12 Pressure Reflection and Refraction Coefficients . . . . . . . . . . . . 17

2.4 Acoustic Transducers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182.4.1 Hydrophones . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182.4.2 Structure of the hydrophone . . . . . . . . . . . . . . . . . . . . . . . 192.4.3 Hydrophone Equivalent Circuit . . . . . . . . . . . . . . . . . . . . . 19

2.5 Underwater Communications . . . . . . . . . . . . . . . . . . . . . . . . . . 202.5.1 Noise Background . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202.5.2 Range . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212.5.3 Power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 222.5.4 Reverberation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

2.5.4.1 Characteristics of Reverberation . . . . . . . . . . . . . . . 242.5.4.2 Limitations to Performance . . . . . . . . . . . . . . . . . . 25

2.5.5 Bit rate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 262.5.6 Keying format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

vi

Page 9: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

CONTENTS vii

3 Frequency Shift Keying 283.1 Coherent Frequency Shift Keying . . . . . . . . . . . . . . . . . . . . . . . . 28

3.1.1 Binary FSK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 283.1.2 Error Probability of Binary FSK . . . . . . . . . . . . . . . . . . . . 303.1.3 Power Spectra of Binary FSK Signals . . . . . . . . . . . . . . . . . 32

3.2 Non-Coherent Detection of Signals . . . . . . . . . . . . . . . . . . . . . . . 343.2.1 Optimum Quadratic Receiver . . . . . . . . . . . . . . . . . . . . . . 35

4 Simulation of the Modem 394.1 Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 394.2 Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 394.3 Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

4.3.1 Total System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 404.3.2 Modulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 414.3.3 Channel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 414.3.4 Demodulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42

4.3.4.1 Demodulation . . . . . . . . . . . . . . . . . . . . . . . . . 424.3.4.2 Time Synchronisation . . . . . . . . . . . . . . . . . . . . . 43

4.4 Results of the Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . 444.4.1 Modulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 444.4.2 Channel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 454.4.3 Demodulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

4.4.3.1 Demodulation . . . . . . . . . . . . . . . . . . . . . . . . . 454.4.3.2 Time Synchronisation . . . . . . . . . . . . . . . . . . . . . 46

5 Implementation of the Modem 495.1 Xilinx System Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 495.2 Hardware . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

5.2.1 Xilinx FPGA Spartan-3AN Board . . . . . . . . . . . . . . . . . . . 495.2.2 Transmission and Receiving Board . . . . . . . . . . . . . . . . . . . 505.2.3 Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 525.2.4 Transducer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

5.3 Implementation in the Hardware . . . . . . . . . . . . . . . . . . . . . . . . 545.3.1 Modulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

5.3.1.1 FSK Modulator . . . . . . . . . . . . . . . . . . . . . . . . 545.3.1.2 Choise of Wave and Signal . . . . . . . . . . . . . . . . . . 565.3.1.3 Generation of the Bit Wave Forms . . . . . . . . . . . . . . 575.3.1.4 Driver for the Transducer . . . . . . . . . . . . . . . . . . . 58

5.3.2 Demodulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 595.3.2.1 Pre-amplifier and Analog-to-Digital Convertor . . . . . . . 595.3.2.2 Demodulation . . . . . . . . . . . . . . . . . . . . . . . . . 635.3.2.3 Time Synchronisation . . . . . . . . . . . . . . . . . . . . . 655.3.2.4 Connection with PC . . . . . . . . . . . . . . . . . . . . . . 66

5.4 Preliminary Tests . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

6 Conclusions and Future Work 696.1 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 696.2 Limitations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 706.3 Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

Page 10: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

List of Figures

1 De progressieve vereenvoudiging van het equivalent circuit van de hydrofoonbeneden resonantie . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4

2 Het bereik van omgevingsruis dat het communicatiesysteem kan verstoren . 43 Threshold in functie van Transmissiefrequentie . . . . . . . . . . . . . . . . 54 Signaal-ruimte diagram voor binair FSK. Het diagram bevat ook twee voor-

beeld golfvormen van de gemoduleerde signalen s1(t) en s2(t) . . . . . . . . 65 Noncoherent kwadratuur ontvanger gebruik makend van correlators . . . . . 66 Architectuur van het gehele systeem . . . . . . . . . . . . . . . . . . . . . . 87 Overzicht van het gehele systeem . . . . . . . . . . . . . . . . . . . . . . . . 88 Blokdiagram van het synchronisatiemechanisme . . . . . . . . . . . . . . . . 99 Grafische representatie van de gedemoduleerde bitstroom en de pulsen waarop

gesampled wordt . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1010 Bode diagram van het filter . . . . . . . . . . . . . . . . . . . . . . . . . . . 1111 Circuit van de versterker . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1112 Gedetailleerd overzicht van de gehele modulator, geımplementeerd in de

FPGA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1213 Globaal overzicht van de demodulator . . . . . . . . . . . . . . . . . . . . . 13

2.1 The Scope of Acoustical Engineering . . . . . . . . . . . . . . . . . . . . . . 42.2 Analogy between electrical and acoustical quantities . . . . . . . . . . . . . 102.3 Obtainment of Young’s Modulus . . . . . . . . . . . . . . . . . . . . . . . . 112.4 Obtainment of the Bulk Modulus . . . . . . . . . . . . . . . . . . . . . . . . 122.5 Obtainment of the Shear Modulus . . . . . . . . . . . . . . . . . . . . . . . 122.6 Obtainment of Poisson’s Ratio . . . . . . . . . . . . . . . . . . . . . . . . . 132.7 Reflection and Refraction at a boundary . . . . . . . . . . . . . . . . . . . . 172.8 The Basic Hydrophone Design Using a PZT Ceramic Tube . . . . . . . . . 192.9 The Progressive Simplification of the Equivalent Circuit of the Below-Resonance

Hydrophone . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202.10 Attenuation of Electromagnetic and Acoustic Energy in Salt Water . . . . . 212.11 Range of Ambient Noise Capable of Corrupting the Communication System 212.12 Range Threshold as a Function of Transmission Frequency . . . . . . . . . . 222.13 Power Versus Maximum Range or Frequency . . . . . . . . . . . . . . . . . 222.14 Maximum Achievable Range as a Function of Frequency . . . . . . . . . . . 232.15 The Distribution Functions Corresponding to the Scattered Waveform . . . 25

3.1 Signal-space diagram for binary FSK system. The diagram also includestwo inserts showing example waveforms of the two modulated signals s1(t)and s2(t) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

3.2 Power spectra of binary PSK and FSK signals . . . . . . . . . . . . . . . . . 35

viii

Page 11: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

LIST OF FIGURES ix

3.3 Noncoherent quadrature receiver using correlators . . . . . . . . . . . . . . 38

4.1 Architecture of the entire system . . . . . . . . . . . . . . . . . . . . . . . . 404.2 View of the entire system . . . . . . . . . . . . . . . . . . . . . . . . . . . . 414.3 View of the FSK Modulator . . . . . . . . . . . . . . . . . . . . . . . . . . . 424.4 View of the Channel Block . . . . . . . . . . . . . . . . . . . . . . . . . . . 424.5 View of the demodulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 434.6 View of the Synchronisation Mechanism . . . . . . . . . . . . . . . . . . . . 444.7 View of the Data Bit waveform . . . . . . . . . . . . . . . . . . . . . . . . . 444.8 Detailed view of the modulated waveform . . . . . . . . . . . . . . . . . . . 454.9 View of the modulated waveform including noise and delay . . . . . . . . . 454.10 Detailed view of the modulated waveform including noise and delay . . . . 454.11 View of the demodulated and downsampled waveform (power0) . . . . . . 464.12 View of the demodulated and downsampled waveform (power1) . . . . . . 464.13 View of the demodulated and downsampled waveform . . . . . . . . . . . . 464.14 View of the pulses according to the zero crossings in the demodulated bit-

stream . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474.15 View of the multiplied pulses according to the zero crossings in the demod-

ulated bitstream . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474.16 View of the 8-bit counter . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474.17 View of the raw sequence . . . . . . . . . . . . . . . . . . . . . . . . . . . . 474.18 View of the raw sequence and the sampling pulses . . . . . . . . . . . . . . 48

5.1 Picture of the Spartan-3AN Starter Kit Board . . . . . . . . . . . . . . . . 505.2 Transmitter and receiver board of the Modem . . . . . . . . . . . . . . . . . 515.3 Equivalent scheme of the acoustic transducer . . . . . . . . . . . . . . . . . 515.4 Equivalent scheme of the acoustic transducer with driving signal . . . . . . 525.5 Circuit of the filter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 525.6 Bode diagram of the filter . . . . . . . . . . . . . . . . . . . . . . . . . . . . 535.7 Circuit of the amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 535.8 Picture of the transducer . . . . . . . . . . . . . . . . . . . . . . . . . . . . 545.9 Detailed view of the entire Modulator implemented in the FPGA . . . . . . 555.10 View of the modulator mechanism implemented in the FPGA fsk mod . . 555.11 View of the choice block for the signal implemented in the FPGA . . . . . . 565.12 View of the choice block for the bit stream implemented in the FPGA . . . 565.13 View of seq gen block implemented in the FPGA . . . . . . . . . . . . . . 575.14 View of the driver of the transducer block implemented in the FPGA . . . . 585.15 Global view of Demodulator . . . . . . . . . . . . . . . . . . . . . . . . . . . 595.16 Detailed view of Analog Capture Circuit . . . . . . . . . . . . . . . . . . . . 605.17 View of the analog-digital convertor implemented in the FPGA . . . . . . . 615.18 Analog-to-Digital Conversion Interface . . . . . . . . . . . . . . . . . . . . . 615.19 Detailed view of serial signals to the ADC . . . . . . . . . . . . . . . . . . . 615.20 View of the ADC CONV Block . . . . . . . . . . . . . . . . . . . . . . . . . 625.21 SPI Timing when communicating with amplifier . . . . . . . . . . . . . . . 625.22 View of the FSK Demodulator implemented in the FPGA . . . . . . . . . . 635.23 View of the Integrate and Dump and Square Block implemented in the FPGA 635.24 Magnitude response of the Low Pass Filter . . . . . . . . . . . . . . . . . . 645.25 View of the FIR Baseband Filter itself implemented in the FPGA . . . . . 655.26 View of the FIR Baseband Filter implemented in the FPGA . . . . . . . . . 65

Page 12: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

LIST OF FIGURES x

5.27 View of the Synchronisation mechanism implemented in the FPGA . . . . . 665.28 View of the Synchronisation mechanism implemented in the FPGA (Sub-

system synchro) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 675.29 View of the Data Waveform Connection to the PC . . . . . . . . . . . . . . 675.30 Positioning of the preliminary tests in the pool . . . . . . . . . . . . . . . . 68

Page 13: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

List of Tables

2.1 Sonar Frequencies Convention . . . . . . . . . . . . . . . . . . . . . . . . . . 6

5.1 Switch and button configurations for modulator . . . . . . . . . . . . . . . . 575.2 Possible generated bit stream . . . . . . . . . . . . . . . . . . . . . . . . . . 58

xi

Page 14: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

List of Abbreviations

Abbreviation Description Definition

AUV Anonymous Underwater Vehicle Page iiiFSK Frequency Shift Keying Page iiiUP Universidade do Porto Page iFEUP Faculdade de Engenharia da Universidade do Porto Page iUGENT Universiteit Gent Page iFPGA Field-programmable gate array Page 2ADC Analog Digital Convertor Page 3CFSK Coherent Frequency Shift Keying Page 3NCFSK Non-Coherent Frequency Shift Keying Page 3ELF Extra Low Frequency Page 6VLF Very Low Frequency Page 6LF Low Frequency Page 6MF Medium Frequency Page 6HF High Frequency Page 6TL Transmission Loss Page 21PSK Phase Shift Keying Page 27PLL Phase Locked Loop Page 27MFSK M-ary Frequency Shift Keying Page 27M-DPSK M-ary Differential Phase Shift Keying Page 27BFSK Binary Frequency Shift Keying Page 28M-QAM M-ary Quadrature Amplitude Modulation Page 28LPF Low Pass Filter Page 64FIR Finite Impuls Response Page 64NaN Not-a-Number Page 64FIFO First In First Out Page 59

xii

Page 15: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Samenvatting

Inleiding

Communicatiesystemen zijn een sleutel technologie van een moderne samenleving. Appli-caties, zowel burgerlijk als militair, zijn ongelimiteerd. Deze recente communicatietech-nologien verbeteren traditionele methodes om te kunnen communiceren over grotere af-standen met een betere kwaliteit. Een honderd jaar geleden was de enige methode omte communiceren over langere afstand de traditionele brief. Vandaag de dag staat eenoneindige waaier aan mogelijkheden tot onzer beschikking. Computer, antennes, kabelsand andere verbindingsmogelijkheden maken het mogelijk om grote hoeveelheden analogeof digitale informatie te versturen naar alle uithoeken van de wereld. Echter, onder water,zoals in het geval van onderzeeboten, is het gebruik van draadloze communicatie moeili-jker te realiseren. De attenuatie van elektromagnetische golven is er zo hoog, dat daaropgebaseerde technologieen praktisch onmogelijk worden. Een geschiktere oplossing is hetgebruik van geluidsgolven, gekopieerd van de dolfijnen. Daar deze golven zich wel voort-planten in water, ligt de oplossing voorhanden en zal de digitale communicatie, zoals in onsgeval, tussen onderzeeers mogelijk gemaakt worden door het gebruik van geluidsgolven.

Probleem en Doel

Een Autonoom Onderwater Voertuig wordt gebruikt om telemetriegegevens van het waterte meten en op te slaan. Echter, eens het voertuig zich onder water bevindt, verliest het allecontact met de wereld boven water. Het voertuig (UAV) volgt een voorgeprogrammeerderoute, doch zou het wenselijk zijn om het voertuig enige functie van navigatie te kun-nen geven. Navigatie commando’s zouden worden verzonden vanuit een controlestation,geplaatst op de oever van een rivier of vanop een boot. Anderzijds zouden de opgeslagentelemetriegegevens van het water onmiddellijk kunnen worden verstuurd naar het con-trolestation, als een communicatiemiddel zou bestaan.

In het voertuig zijn reeds communicatiemiddelen aanwezig, gebruik makende van elek-tromagnetische golven, dewelke het kan gebruiken als het aan de oppervlakte drijft. Hetdoel van dit project is het implementeren van een onderwater communicatiesteem, zodat

1

Page 16: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

LIST OF TABLES 2

er toch een mogelijkheid tot communicatie kan bestaan tussen het AUV en het controlesta-tion.

Het hoofddoel van dit project is het ontwerp en de implementatie van een akoestischeonderwatermodem met laag verbruik. De modem zal gebruik maken van een akoestischetransducer and sturingselektronica, deels reeds ontworpen voor de transmissie van signalenvoor onderwaterpositionering.

De modem applicatie zal worden geımplementeerd in een FPGA en zal worden gebruiktom binaire signalen the versturen, gebruik makende van Frequency Shift Keying (FSK).Deze signalen worden akoestisch verzonden met behulp van de akoestische transducers. Deontvanger moet in staat zijn deze signalen te ontvangen en demoduleren en er de nuttigeinformatie of instructies uit te halen.

Om dit doel te bereiken moeten verschillende taken worden uitgevoerd:

� Het moduleren van arbitraire bitstreams, gebruik makende van FSK.

� Het aansturen van de transmitter met het gepaste signaal.

� Het zenden van het akoestisch signaal met een transducer die speciaal werd ontwor-pen voor het gebruik onder water.

� Het ontvangen van het gemoduleerde akoestische signaal, gebruik makende van eentweede transducer.

� Het aansturen van een versterken met het ontvangen signaal.

� Het binnenhalen van het ontvangen signaal door een Analoog-Digitaal Convertor(ADC), het demoduleren en interpreteren van het signaal. Indien mogelijk wordthet signaal naar de computer verstuurd.

Structuur

Het originele werk bestaat uit 6 hoofdstukken. Deze tekst is een beknopte samenvattingvan het werk, waar de hoofdstukken in de tekst hier voorgesteld worden door secties enparagrafen.

De eerste deel is een algemene inleiding tot het project. Het tweede deel geeft een korteuitleg over onderwater akoestiek en spitst zich vooral toe op physische fenomenen vangeluidspropagatie. De derde paragraaf bevat een technische beschrijving over FrequencyShift Keying. In het vierde deel worden de simulatie en de grafische resulaten van desimulatie uit de doeken gedaan. Het vijfde deel handelt over de implementatie in de

Page 17: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

LIST OF TABLES 3

hardware. In het zesde en laatste deel worden de conclusies, beperkingen en suggestiesvoor verbetering voorgesteld.

Onderwater Akoestiek

Inleiding

De akoestische wetenschap betreft de studie en praktische applicaties van geluidstrans-missie in vaste en vloeibare stoffen. Onze interesse zal voornamelijk liggen in applicatiesvoor geluidstransmissie in zeewater. Daar elektromagnetische golven zeer sterk geat-tenueerd worden door het geleidende zeewater, is onderwater akoestiek een onderwerpvan groot belang, zowel militair als commercieel.

De snelheid van geluid in oceaanwater

De snelheid van het geluid, c, wordt bepaald door twee fysische eigenschappen van hetmedium, namelijk de Bulk Modulus K en zijn dichtheid ρ. De relatie tussen deze groothe-den wordt gegeven door de volgende vergelijking, dewelke aan Newton wordt toegeschreven

c =

√K

ρ(1)

In gedistilleerd water van 20 deg C en op een standaard atmosferische druk, meten we,ρ als 998 kg/m3 en K als 2.18 · 109N/m2. Zo bekomen we dus een geluidssnelheid van1481m/s.

Akoestische Transducers

Een hydrofoon is een microfoon speciaal ontworpen voor het onder water uitzenden of ont-vangen van geluid. De meeste ontwerpen worden gebaseerd op een piezo-elektrische trans-ducer die electriciteit genereert wanneer hij onderworpen wordt aan een drukverandering.Deze ppiezo-elektrische materialen, of transducers kunnen een geluidssignaal omzettennaar een elektrisch signaal daar geluid een drukgolf in vloeistoffen is. Sommige transduc-ers kunnen ook functioneren als emitter of zender.

Het actieve deel van de hydrofoon is een piezo-elektrische buis, die een waaier vanresonanties zal vertonen. De meest belangrijke resonanties zijn de transversale en deradiale resonanties en een van deze twee zal de bovenlimiet van de gevoeligheid van dehydrofoon bepalen. Het equivalente elektrische schema voor de hydrofoon, wordt getoondin Figuur 1.

Page 18: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

LIST OF TABLES 4

Figure 1: De progressieve vereenvoudiging van het equivalent circuit van de hydrofoonbeneden resonantie

Onderwatercommunicatie

Akoestische onderwatercommunicatie heeft ook te kampen met sterke beperkingen, opgelegddoor ruis en reverberatie. Daardoor worden de nodige energie, het bereik en de bit rateenigszins vastgelegd.

Om de energie nodig voor het versturen van de akoestische signalen te bepalen, moetenwe in de eerste plaats rekening houden met de omgevingsruis. Een grafische interpretatievan deze ruis kunnen we zien in Figuur 2. We kunnen makkelijk inzien dat de meest gun-stige situatie te vinden is in de MF band (Medium Frequency) in een open, stille oceaan.De meest gunstige frequenties liggen tussen de 20 en 30 kHz, diegene wij ook gebruikenom onze akoestische signalen te versturen.

Figure 2: Het bereik van omgevingsruis dat het communicatiesysteem kan verstoren

Met deze zelfde frequenties zien we in Figuur 3 dat het akoestisch signaal pas zeersnel attenueert vanaf 5 tot 10 km, door het plotten van het transmissieverlies in de sonarfrequentiebanden.

De reverberatie of weergalm van een geluidsgolf wordt veroorzaakt door het weerkaat-sen van de golf op oneffenheden, muren of bodem. Dit reverberatieveld stoort het signaal

Page 19: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

LIST OF TABLES 5

Figure 3: Threshold in functie van Transmissiefrequentie

en het is dus nuttig de verhouding signaal-reverberatie in rekening te brengen. Wanneer deenergie van het signaal toeneemt, neemt ook de weergalm of reverberatie in evenredigheidtoe. Daar het moeilijk is om de verstrooiiers van het geluid, waardoor de reverberatiewordt veroorzaakt, rigoreus te beschrijven, worden deze meestal gekarakteriseerd doorRayleigh omhullenden.

Ondanks dat PSK beter gebruik maakt van de beschikbare bandbreedte dan FSK,hebben we toch voor FSK gekozen, daar het een zeer robuuste techniek is. FSK wordtgeparametriseerd in termen van de modulatie-index:

m =B

Rd− 1 (2)

Frequency Shift Keying - FSK

Coherente FSK

In een binaire FSK, worden de symbolen 1 en 0 onderscheiden van elkaar door het verzen-den van twee sinusoıdale golven die verschillen in frequentie door een vaste waarde. Eentypisch paar van sinusoıdale golven wordt beschreven

si(t) =

2EbTbcos(2πfit), 0 ≤ t ≤ Tb

0, elsewhere(3)

waar i = 1, 2, en Eb de verzonden signaalenergie per bit; de uitgezonden frequentie isi

fi = nc+iTb

voor een vaste gehele waarde nc en i = 1, 2. (4)

Page 20: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

LIST OF TABLES 6

Dus symbool 1 wordt voorgesteld door s1(t), en symbool 0 door s2(t). Het FSK signaalhier beschreven is gekend als Sunde’s FSK.

Figure 4: Signaal-ruimte diagram voor binair FSK. Het diagram bevat ook twee voorbeeldgolfvormen van de gemoduleerde signalen s1(t) en s2(t)

Non-Coherente detectie van signalen

Voor de non-coherente detectie van de FSK gemoduleerde signalen gebruiken we de config-uratie van de kwadratuurontvanger (Optimum Quadratic Receiver), getoond in Figuur 5.

Figure 5: Noncoherent kwadratuur ontvanger gebruik makend van correlators

Hier kunnen we stellen dat de decisie gebeurt volgens de volgende uitdrukking:

l21 ≶H1H2

l22 (5)

Page 21: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

LIST OF TABLES 7

of ook

I0

(√E

N0Tl1

)≶H1H2

I0

(√E

N0Tl2

)(6)

waar de hypothesis H1 en H2 corresponderen met signalen s1(t) en s2(t), respectievelijk.s1(t) en s2(t) zijn de inkomende signalen en worden voorgesteld als

si(t) =

√2ETcos(2πfit),

0 ≤ t ≤ Ti = 1, 2

(7)

Simulatie van de Modem

De modem (modulator - demodulator is de software en de hardware die werd ontwikkeldtijdens dit project. Het is een eerste stap van een groter project over onderwatercom-municatie, dus de modem kan is nog niet volledig operationeel. Deze sectie gaat over desimulatie van het systeem.

Een simulatie van het systeem was nodig om het systeem later te kunnen imple-menteren in de FPGA’s. Deze simulatie werd ontworpen in Simulink®.

Vereisten

In een later stadium van het project wordt deze applicatie verondersteld te worden in-gebouwd in een AUV om daar navigatiecommando’s te demoduleren en te interpreteren,wat het zou mogelijk maken het onderwatervoertuig een zekere zin van besturing te geven.Ook een modulator zou in het voertuig worden ingebouwd om de telemetrie data naar hetcontrolestation te verzenden.

Architectuur

De gehele structuur van het systeem bestaat uit de volgende componenten: (i) 2 FPGABoards, namelijk Spartan-3A/3AN Starter Kit Boards, waarin de modulator en demod-ulator worden geımplementeerd, (ii) een zender en een (iii) ontvanger board, dewelkeverbinding met de transducers mogelijk maken; ook (iv) zijn twee transducers voorhan-den, om de elektrische signalen om te zetten in acoustische signalen; (v) een versterkerom het elektrische signaal dat het ontvanger board binnenkomt te versterken.

Simulatie

De simulatie betreft enkel de modulator en de demodulator van het geheel. Ook werd eenvereenvoudigde simulatie gemaakt van het kanaal, dat in en uitschakelbaar is. We zien devolledige simulatie in Figuur 7.

Page 22: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

LIST OF TABLES 8

Figure 6: Architectuur van het gehele systeem

Figure 7: Overzicht van het gehele systeem

In deze samenvatting zullen we niet verder ingaan op de inhoud van de verschillendeblokken in de simulatie. De modulator werd geımplementeerd met behulp van optellerdie het signaal, vermenigvuldigd met draaggolf f1, optelt bij het geınverteerde signaal,vermenigvuldigd met draaggolf f2. Later, in de implementatie hebben we deze benader-ing vervangen door een multiplexer. De demodulator werd geımplementeerd volgens denoncoherente kwadratuur ontvanger, zoals getoond in Figuur 5. De implementatie van demodulator en demodulator zijn duidelijk te zien in het werk zelf. Doch willen we U hierhet synchronisatiemechanisme niet onthouden. De inhoud van het blok syncho, waarindit mechanisme vervat is, wordt getoond in Figuur 8.

Draaggolfsynchronisatie is niet nodig, daar we te maken hebben met non-coherentedemodulatie.

Het signaal, de data golfvorm, dat uit het blok demod komt, is een signaal dat dichtbij -1 ligt als een nul werd gedemoduleerd, en dat dicht bij 1 ligt als een 1 werd gedemod-uleerd. De tijdssynchronisatie probeert deze waarden te samplen op de juiste tijdsstippen.

Dit algoritme maakt gebruik van een eerste orde PLL om een teller te ”locken” op de

Page 23: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

LIST OF TABLES 9

Figure 8: Blokdiagram van het synchronisatiemechanisme

nulpunten van de data golfvorm.

We hebben ni samples per bit periode, dus we creeeren een ni-bit teller die telt van−2ni−1 tot 2ni−1− 1 met rollover (omslag). Bij elke nieuwe sample tellen we 2ni/ni op bijde teller. Elke keer we een nulpunt tegenkomen in de data golfvorm, nemen we de waardevan de teller en delen we die door twee. We trekken deze waarde af van de teller. Na eentransiente periode zullen de nulpunten van de teller overeenkomen met de nulpunten vande data golfvorm. Wanneer de teller omslaat, samplen we de data golfvorm en generereneen beslissing uit het teken van de data sample op dat ogenblik.

Resultaten van de Simulatie

Ook de resultaten van de simulatie zijn zeer duidelijk in het werk opgenomen, zodat hetniet nodig is deze hier te herhalen. De waarden en constanten, die gebruikt werden inde simulatie zijn hieronder te zien. Deze zijn verschillend dan bij de implementatie inhardware, vanwege het sequentieel karakter van de processor.

� draaggolf met frequentie f0 = 1 kHz

� draaggolf met frequentie f1 = 1.5 kHz

� bit rate fb = 50 Hz, dus Tb = 0.02

� delay tijd Td = Tb/2

� multipath extra delay Td1 = Td/5, with a factor of k1 = 0.2

� ni = 8, dus we krijgen een 8-bit teller

� energie van de ruis Pn = 0.1

� duur van de simulatie = 1 s

Page 24: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

LIST OF TABLES 10

Aangezien we de werking van het synchronisatiemechanisme hebben besproken, zullenwe ook de resultaten tonen. De pulsen, gegenereerd wanneer de teller omslaat, aanwezigaan de uitgang van het blok synchro in Figuur 7, worden getoond in Figuur 9. Wekunnen makkelijk zien dat de nulpunten van de teller synchroniseren met de nulpuntenvan de gedemoduleerde bitstroom. Deze beiden worden getoond in Figuur 9.

Figure 9: Grafische representatie van de gedemoduleerde bitstroom en de pulsen waaropgesampled wordt

Implementatie van de Modem

Het ontwerp en de implementatie van de algoritmes voor de modulatie en de demodulatie inde FPGA werden gemaakt met behulp van de Xilinx System Generator®. Xilinx SystemGenerator1 is de leider van high-level applicaties voor het ontwerpen van high-performanceDSP systemen, gebruik makende van FPGA’s.

Hardware

De modulator en demodulator worden geımplementeerd 2 FPGA Boards, namelijk Spartan-3A/3AN Starter Kit Boards. De redenen voor het kiezen voor deze FPGA-gebaseerdetechnologie is de hoge programmeerbaarheid. Het grote voordeel van FPGA’s is de snelleinterne klok en de parallellisatie van de berekeningen.

Om een verbinding met de transducers mogelijk te maken, hebben we ook een zenderen een ontvanger board nodig, waarvan we hier echter geen figuur zullen tonen.

Het zeer zwakke signaal dat binnenkomt via de transducer, dient eerst te wordenversterkt alvorens het naar het ontvanger board te sturen. Het signaal dat binnenkomt istypisch van de ordegrootte µV. Een versterking van een tienduizend keer is aangewezenom een nuttig signaal tussen 0 en 5 V te bekomen aan de ingang van de A/D Convertor.Het circuit bevat naast een 3-trapsversterker, ook nog een filter, met een Bodediagram

1Informatie beschikbaar op http://www.xilinx.com/ise/optional prod/system generator.htm

Page 25: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

LIST OF TABLES 11

zoals getoond in Figuur 10. Het circuit van de versterker met filter kunnen we zien inFiguur 11.

Figure 10: Bode diagram van het filter

Figure 11: Circuit van de versterker

De twee transducers voorhanden, om de elektrische signalen om te zetten naar akoestis-che signalen, zijn van het type T217 van Neptune2.

Implementatie

Modulator

De FSK-modulator, die getoond wordt in Figuur 12, moduleert de data bitstroom in FSKdoor middel van een multiplexer. Het multiplexet tussen de frequenties f0 and f1, respec-tievelijk 21 kHz en 27 kHz. Deze frequenties worden in water minder geattenueerd dan deandere, hogere of lagere, frequenties.

2Datasheet beschikbaar op http://www.neptune-sonar.co.uk/t217.asp

Page 26: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

LIST OF TABLES 12

Het gemoduleerd signaal is een coherent gemoduleerd signaal, aangezien de twee draag-golffrequenties een veelvoud zijn van de bit rate, namelijk 250 Hz. Zo bekomen we eenSunde’s FSK. Het duurt exact 84 sinusoıdale periodes om een lage bit te verzenden aan21 kHz en 108 sinusoıdale periodes om een hoge bit te verzenden aan 27 kHz.

Figure 12: Gedetailleerd overzicht van de gehele modulator, geımplementeerd in de FPGA

Om het testen van de modulator te vergemakkelijken, hebben we verschillende golfvor-men geımplementeerd in de FPGA. Op dit moment bevatten deze golfvormen weinignuttige informatie, doch enkele varianten zijn te beschikking. Drie pseudo-willekeurigegolfvormen en een blokgolf is ter beschikking. Deze kunnen dan continu worden uitge-zonden of in pulsen van 31 bits, die geactiveerd worden wanneer een drukknop op hetFPGA-bord wordt ingedrukt. Ook kan de modulator een van de twee frequenties, hetzij21 kHz hetzij 27 kHz, uitzenden.

Om de FET’s van het verzender board aan te sturen, dat op zijn beurt de transduceraanstuurt, moeten we de signalen aanpassen. De FET’s zijn geconfigureerd in een klasseB-configuratie (push-pull) dus mogen niet tegelijkertijd geleiden. Daarom passen we hetgemoduleerde signaal aan als volgt

si(t) =

−1 s(t) < −0.0080 −0.008 < s(t) < 0.0081, s(t) > 0.008

(8)

Uit dit signaal, gebruik makende van 2 lookup tabellen, maken we twee bijna tegengesteldeblokgolven, echter met een tussentijd van 20 ns, waarop het signaal dus laag blijft.

Demodulator

De demodulator van de modem, getoond in Figuur 13, is het moeilijkste deel, daar weook te maken hebben met vertragingen en ruis. De demodulator bestaat uit drie grote

Page 27: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

LIST OF TABLES 13

subsystemen, namelijk de ADC, de optimum kwadratuur demodulator en een deel voorde synchronisatie.

De signalen van de versterker worden aangeboden aan het analoge circuit van hetFPGA board, waarna ze door een ADC worden omgezet. Deze omzetting wordt vollediggestuurd vanuit de FPGA zelf en het verzenden van de gecapteerde waarden naar de FPGAgebeurt volledig serieel, waardoor het nodig is deze waarden om te zetten naar bruikbarewaarden door middel van bijkomstige blokken. Op de werking van de ADC zullen we hierniet verder ingaan.

Figure 13: Globaal overzicht van de demodulator

De demodulator is van het type non-coherente kwadratuurontvanger, zoals ook vermeldbij de simulatie. In dit blok wordt het signaal vermenigvuldigd met sin(w0t), cos(w0t),sin(w1t) and cos(w1t), respectievelijk. Hierna worden deze geıntegreerd over een bepaaldetijd.

Alvorens de signalen uit te sturen naar het volgende blok, worden deze eerst nog gefil-terd, om zich grotendeels te ontdoen van hoge frequentiecomponenten. Het filter dat wordtgebruikt is een FIR-filter met een doorlaatfrequentie van 250 Hz en een stopfrequentie van2000 Hz, met een attenuatie van 60 dB. De samplefrequentie bedraagt 8000 Hz. Dit filterwerd ontworpen in Matlab, maar voor het implementeren werd voor een implementatie incoefficienten gekozen.

Het blok voor de synchronisatie is vrij gelijklopend met de simulatie, dus deze zullenwij hier niet opnieuw bespreken.

Om een verbinding met de PC te verkrijgen, hebben we een gedeeld geheugen gebruikt.Aan de kant van de FPGA, waar alles op een hoge kloksnelheid (50 MHz) werkt, worden de

Page 28: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

LIST OF TABLES 14

waarden in het geheugen geschreven, elke keer als er een puls, afkomstig van het synchro-nisatieblok, optreedt. Vanaf het moment dat er zich een waarde in het gedeeld geheugenbevindt, wordt deze door de PC uitgelezen. Deze waarden worden gelezen aan een gemid-delde snelheid van ongeveer 250 Hz. Door de verplaatsing van de UAV ten opzichte vanhet controlestation, schomelt deze gemiddelde snelheid een klein beetje, maar blijft in debuurt van 250 Hz.

Beperkingen en Toekomstig Werk

Beperkingen

Zoals alle applicaties vertoont deze eerste versie van de Akoestische Onderwatermodemook gebreken en beperkingen:

� De modulator heeft geen verbinding met een PC en kan daarom enkel voorgedefinieerdebitstromen. verzenden.

� Bij het testen van de modem hebben we een extra laagdoorlaatfilter geımplementeerdtussen de versterken en het FPGA bord. De situatie werd veel stabieler dan ervoor,doch lijdt het algoritme nog onder onstabiele momenten. Deze onstabiliteit kanworden veroorzaakt door een hoog reverberatieveld, daar het systeem enkel werdgetest in een ondiep zwembad. De gedemoduleerde bitstroom was op sommige mo-menten sterk vervormd, waardoor het synchronisatie-algoritme moeilijkheden hadom te synchroniseren. Wij verwachten een verbetering bij tests in open water.

� De connectie van de demodulator met de PC is nog twijfelachtig. De waarden diede PC haalt uit het gedeelde geheugen kunnen nog niet vergeleken worden met deverzonden bitstroom.

� Het gehele systeem heeft nog geen error detectie noch correctie.

� Voor toekomstige doeleinden is het systeem nog niet klaar om binaire berichten tekunnen interpreteren zonder PC.

Toekomstig Werk

De lijnen voor de toekomst proberen tegemoet te komen aan de geıdentificeerde gebreken:

� Om het de transparantie van het systeem te verhogen zou een errordetectie en -correctie moeten worden geımplementeerd.

� Het systeem zou moeten in staat zijn om berichten van de PC te lezen en deze temoduleren en te verzenden.

� Het synchronisatie-algoritme zou moeten verbeterd worden

Page 29: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

LIST OF TABLES 15

� Er zou een banddoorlaatfilter moeten worden geımplementeerd om out-of-band sig-nalen te verzwakken.

� Het systeem zou moeten getest worden in open water.

� Voor latere doeleinden zou het systeem moeten in staat zijn om berichten te inter-preteren en zo commando’s of instructies op te volgen in real time.

� Het project is echter op een punt gekomen, waar Xilinx System Generator nietlanger een optie is voor een volledig operationeel systeem. Dit is een goede optiegeweest voor een test versie van deze Modem, doch, voor verdere ontwikkeling vanhet systeem zou het beter zijn over te schakelen naar een volledig programmeerbareVerilog of VHDL versie van het systeem.

Page 30: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Underwater Acoustic Modem

Jelle Stuyvaert

Supervisor(s): prof. dr. ir. Dick Botteldooren, prof. Ir. Anıbal Castilho Coimbra de Matos

ABSTRACT

The main goal of the project is the designand implementation of a low power underwa-ter acoustic modem. The modem will use anacoustic transducer and some driving electron-ics already developed for the transmission ofsignals for underwater positioning. The mo-dem application was implemented in an FPGA.The system was developed with the help of highlevel synthesis tools which allow to project,simulate and synthesize the system in an inte-grated way. A Frequency Shift Keying (FSK)modulation was used to transmit digital signalsin the pass band of the acoustic transducer.

In a later stage of the project, after the in-clusion of a high level communications proto-col with error detection and correction, out ofthe scope of the current work, this applicationis intended to be used inside an AutonomousUnderwater Vehicle (AUV) so that it can re-ceive and interpret navigation commands sentby a control station, allowing for the change ofthe vehicle behavior in real time. Furthermore,this system will allow the vehicle to transmittelemetry data to the control station.

I. INTRODUCTION

An Autonomous Underwater Vehicle is in-tended to measure and save telemetry data ofthe water. However, once functioning underwa-ter, it loses all contact with the world above thewater. The AUV now is programmed to followa predefined route, although communication tonavigate the vehicle would be preferable. Nav-igation commands would be sent from a con-

—————————————————–J. Stuyvaert, Ghent University (UGent), Gent, Bel-

gium. E-mail: [email protected] .

trol station, stationed at the bank of a river orat a boat. On the other hand, the saved teleme-try data of the water could be sent to a stationimmediately if a kind of comunication systemwould exist.

II. ARCHITECTURE

The whole system consists of the follow-ing components: (i) 2 FPGA Boards, namelySpartan-3A/3AN Starter Kit Boards, whereinthe modulator and the demodulator are imple-mented, (ii) a transmitter board and a (iii) re-ceiver board, which make the connection withthe transducers possible; also (iv) two transduc-ers are provided, to convert the electrical sig-nals into acoustic signals; (v) an amplifier toamplify the electrical signal that enters the re-ceiving FPGA board, converted from an acous-tic wave by the receiving transducer.

Figure 1. Architecture of the entire system

The arguments that made us choose foran FPGA-based technology is the highly pro-grammable aspect of an FPGA. Once theFPGA-program is debugged, one can search forpermanent solutions. The great advantage ofan FPGA is a very fast internal clock signaland the parallellisation of calculations, whichmakes a FPGA-based solution a good option.

Page 31: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

III. IMPLEMENTATION

The modulator and the demodulator of theAcoustic Underwater Modem are implementedin the FPGAs. This contains a description ofboth.

A. Modulator

The modulator modulates the bitstream, us-ing Frequency Shift Keying. In Figure 2 we cansee a global view of the modulator. To obtainthis result we use a multiplexer which is drivenby the data bit stream. It multiplexes betweenthe frequencies f0 and f1, respectively 21 kHzand 27 kHz.

The two carrier frequencies are multiples ofthe bit rate, which is 250 Hz, so the modulatedsignal is a coherent modulated signal. As a re-sult we obtain a Sunde’s Frequency Shift Key-ing.

Figure 2. Global view of the Modulator

B. Demodulator

The Demodulator (Figure 3) consists ofthree subsystems, namely an ADC (Analog-to-Digital Convertor, the demodulator itself andsynchronisation mechanism. The first of thethree makes sure that the Analog-to-DigitalConvertor is reading, sampling and convertingproperly. The second, takes care of the demod-ulation of the sampled waveform and the thirdlooks after the synchronisation. The synchroni-sation implies that the demodulated waveformshould be sampled at the right time.

In order to have a connection with the PC,we provided the system with a shared memory.

IV. PRELIMINARY TESTS

During tests in very shallow water we en-counter problems in the demodulator. In the

Figure 3. Global view of the Demodulator

modulator we didn’t find major problems. Thedemodulation of arbitrary waves was good, andin these cases the synchronisation worked well.Sometimes the demodulation or synchronisa-tion was completely lost. More tests in largerwater environments need to be fullfilled in or-der to know what possible problems can appearand how to solve them.

V. CONCLUSIONS

The Underwater Acoustic Modem was de-signed to modulate, send, receive, demodulate,sample and, later on, interprete binary mes-sages using transducers. Except for the inter-pretation of the messages and some limitations,the system works well. The modulator workswithout any problem. Some major limitationsare unstability of the demodulator and synchro-nisation mechanism and the lack of a workingconnection with the PC.

VI. ACKNOWLEDGEMENTS

Therefore, I would like to thank the institu-tions and persons who made this project and theexperience possible. First of all, to the Uni-versiteit Gent (UGENT) and Universidade doPorto (UP) for letting me participating in theErasmus program.

Very special thanks go to for Prof. AnıbalCastilho Coimbra de Matos for his dedicationand continuous support and help, and the goodcollaboration. Also special thanks go to SergioRui Sılva and to my promotor, Prof. Dick Bot-teldooren for the advises and the abundant help.

Page 32: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Chapter 1

Introduction

Communication systems are a key technology of modern society. Applications, both civiland military, are unlimited. This recent communication technologies improve traditionalcommunication systems and opens the way to totally new technologies. Just a hundredyears ago, nearly the only method to communicate over longer distance was by traditionalmail. Nowadays, an endless fan of possibilities is at our command. Computers, antennae,cables and other connection methods make it possible to have a huge amount of analogor digital information at almost any place all over the world. However, underwater, asin the case of submarines, wireless communication is more difficult. As the attenuationof magnetic waves underwater is very high, technologies based on electromagnetism areuseless in this environment. An other approach might be appropriate. As sound waves,copied from dolphins, do propagate in sea water, the solution is clear and thus the digitalcommunication, in our case, between submarines will be made possible by use of soundwaves.

1.1 Problem

An Autonomous Underwater Vehicle is intended to measure and save telemetry data ofthe water. However, once functioning underwater, it loses all contact with the world abovethe water. The AUV now is programmed to follow a predefined route, although commu-nication to navigate the vehicle would be preferable. Navigation commands would be sentfrom a control station, stationed at the bank of a river or at a boat. On the other hand,the saved telemetry data of the water could be sent to a station immediately if a kind ofcomunication system would exist.

The vehicle has an installed communication system, using electromagnetic waves,which it is able to use, once it floats on the surface. It is the aim of this project toimplement an underwater communication system, so there is still some kind of communi-cation possible between the AUV and the ground station. Not only navigation commands

1

Page 33: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Introduction 2

would be sent but this communication would be implemented also with the purpose totransmit analised telemetry data back to the control station. This data is now saved onan internal harddisk in the vehicle.

1.2 Motivation

There are several reasons why I was motivated to become part of the Erasmus exchangeprogram. It is a chance of a lifetime to study abroad. It is a chance to meet other peoplewith different nationalities, to speak practice foreign languages and to discover the way oflife in Portugal. But most of all, it is the perfect way to get to know yourself, your ownpsychology and your limits.

The acoustic underwater technology and its nearly discovered technical area made mechoose this project. Being passionate of outdoor sports, travelling and new technologies,this was a great opportunity for learning about the working principles of this technology,which is a huge scientific and technical domain. Not only the topic was attractive, butalso ability to work on a rarely explored subject as well as the development of softwareand hardware skills, were some of the reasons that made me choose this project.

1.3 Goal

The main goal of the project is the design and implementation of a low power underwa-ter acoustic modem. The modem will use an acoustic transducer and driving electronicsalready developed for the transmission of signals for underwater positioning.

The modem application will be implemented in an FPGA and is intended to modulatebinary signals using Frequency Shift Keying, transmitting these in acoustic signals by useof acoustic transducers. The receiver of the application must be able to receive and de-modulate these signals and obtain, useful data or instructions.

To achieve this goal different tasks have to be performed:

� Modulate any arbitrary bitstream, using Frequency Shift Keying.

� Drive the transmitter with a proper signal.

� Send underwater acoustic signal using a transducer especially designed for underwa-ter use.

� Receive the modulated acoustic signals using a second transducer.

� Drive an amplifier with the received signal.

Page 34: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

1.4 Structure 3

� Capture the received signal using an Analog Digital Convertor (ADC), demodulateand interprete this signal and, if possible, send it to the computer for testing.

1.4 Structure

This report consists of six Chapters. The first Chapter is a general introduction to theproject and contains the problem, the motivation and goal of this project.

The second Chapter gives a brief explanation about underwater acoustics. It startswith a very brief historical overview of research work in the area of sonar engineering,after which the fundamental phenomenons of sound propagation are explained. Subse-quently, it introduces phenomenons like refraction, reflection and of sound waves. A shortintroduction about acoustic transducers, more specific, hydrophones, is also given in thefollowing paragraph. With the basic phenomonons of sound in mind, the last sectionshandle about reverberation, power and limitations in underwater communications.

The third Chapter contains a technical description about Frequency Shift Keying(FSK). The terms Coherent Frequency Shift Keying (CFSK) and Non-Coherent FrequencyShift Keying (NCFSK) are introduced and their error probability and power spectra arederivated.

The fourth Chapter contains the simulation and graphical results of the applicationthat was developed during this project.

In the fifth Chapter the actual implementation in hardware is represented. First, abrief overview about the used hardware is given. Next, the actual implementation in hard-ware is clarified.

The sixth and last Chapter presents the conclusions, limitations and includes alsoenhancement suggestions for future development.

Page 35: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Chapter 2

Underwater Acoustics

Underwater acoustics are different from usual communication techniques using electromag-netic waves. This chapter goes from a brief history in sonar engineering, continued by anexplanation of acoustic communications. The physics of sound propagation are repeated toend with the more specified explanation about noise, reverberation and range of underwatercommunications. Also a very short introduction to acoustic transducers is provided in thechapter.

2.1 Introduction

Figure 2.1: The Scope of Acoustical Engineering

The science of acoustics involves the study and practical application of sound trans-mission in solid and fluid media. The subject is one of considerable scope, as Figure 2.1illustrates. Our interest will lie particularly in applications involving sound transmissionin the sea and in its underlying sediment layers and rock strata. Sound transmission is the

4

Page 36: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

2.2 Sonar Engineering 5

single most effective means of directing energy transfer over long distances in sea-water.Neither radio-wave nor optical propagation is effective for this purpose, since the former,at all but the lowest usable frequencies attenuates rapidly in the conducting salt water andthe latter is subject to scattering by suspended material in the sea. Underwater acousticsis thus a topic of extreme military and commercial importance.

2.2 Sonar Engineering

2.2.1 Brief History

It is a matter of some regret that the history attaching to the development of the subjectof underwater acoustics is relatively poorly documented. As history, the documentarymaterial is of relatively recent origin and is frequently difficult to acquire because of theexplicitly military nature of much of the research which has been conducted during thepast several decades.

Possibly the earliest written reference to the detection of sound at sea was made byLeonardo da Vinci [MacCurdy, E.], writing in 1490

”If you cause your ship to stop and place the head of a long tube in the water and placethe outer extremity to your ear, you will hear ships at a great distance from you.”

Despite the mismatches in acoustic impedance involved in using such a principle, variantsof this technique (almost certainly without regard to Leonardo’s observation) were em-ployed during World War I. This was done both at shore listening stations and on surfacevessels, for the primary purpose of submarine detection and localisation. The simplestsuch device, which can easily be replicated and tested, consisted of a pair of submergedair-filled rubber bulbs separated by about two metres, connected by stiff-walled tubes toa pair of stethoscope earpieces. The device has directional characteristics for frequenciesin the audible range.

The first electrical sensors utilised carbon button microphones contained in flexiblerubber tubing some 10 m in length, to form a towed array. This device was introducedduring the last months of World War I and was a development which specifically recog-nised the need to remove the sensor from the self-noise generated by the ship deploying it.Again, simple microphonic detectors based upon this principle are easily made. Suitablebutton microphone elements are still to be had in army surplus stores: they form a partof the throat-microphones used by aviators in past years.

Although the early objective uses of sonar were certainly of a military nature, it wasthe loss of the Titanic in 1912 that first stimulated thought into ways of detecting objects

Page 37: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Underwater Acoustics 6

in the sea - in this instance, icebergs rather than submarines - by using active ultrason-ics. In 1914, Fessenden was able to demonstrate the detection of an iceberg at two milesrange using a moving-coil transducer [Fessenden, R.A.]. Work by Langevin, Chilowsky,Boyle and others lead to the development, in the years during and following World War I,of quartz transducers and metal-quartz-metal sandwich transducers. From this time butparticularly during the period of World War II, with the rapid development of electronicsas a complementary technology, sonar engineering became firmly established as a scientificand engineering discipline in its own right.

In the period following World War II, commercial developments connected with marinecivil engineering survey, hydrographic survey, oil-prospecting, scientific investigations andsub-sea site operations such as navigation and re-location, have taken underwater acousticsout of its narrower military framework, making it a major enabling technology for a widerange of maritime activities. This burgeoning in application has gone hand in hand withvital developments in transducer materials, in particular the discovery of the lead titanatesand their generic successors. Equally important has been the rapid increase in complexityand decrease in cost, of electronic components and systems for signal generation andprocessing and, indeed, the dramatic progress in development of appropriate algorithmictechniques for a host of signal processing activities.

2.2.2 A Sonar Frequencies Convention

Band Wavelength Span Frequency Span

ELF 50 m - 10 m 30 Hz - 150 HzVLF 10 m - 1 m 150 Hz - 1.5 kHzLF 1 m - 10 cm 1.5 kHz - 15 kHzMF 10 cm - 1 cm 15 kHz - 150 kHzHF 1 cm - 1 mm 150 kHz - 1.5 MHz

Table 2.1: Sonar Frequencies Convention

2.2.3 Acoustic Communications

The literature surrounding the subject of underwater acoustic communications is, in somerespects, surprisingly scant. This is particularly the case if one concentrates only upon thatmaterial directly concerned with actual underwater communication systems as opposed tomore general aspects of propagation and channel modelling. The major difficulties withwhich the communication engineer is concerned, when attempting to design underwater

Page 38: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

2.3 The physics of Sound Propagation 7

acoustic communication systems, revolve around the problems of reverberation and mul-tipath transmission and high attenuation at high acoustic frequencies.

Acoustics is not, of course, the only method of obtaining underwater communication:cable systems (in many different contexts) are widely used - but carry the obvious andfrequently unacceptable disadvantage of tethering the remote end of a link. Fibre-opticmethods have also been used, to a lesser extent, as yet - though doubtless that situationwill change in the future. The problem of tethering remains, as does the need for copper,in providing a supply of electrical power to the sub-sea installation.

Electromagnetic propagation, using both radio and laser transmission has been con-sidered. However, except in particular and unusual circumstances, neither approach isof great value. Because salt water is conductive, only the lowest - barely usable - radiowavelengths will propagate any distance. These are emanations in the ELF1 band. Signalspropagated in the ELF band require large transmitter powers and large antennae. ELFband propagation has been studied intensively during the past two decades with a viewto establishing ”bellringer” communication with the nuclear submarine fleet.

Curiously, visual light frequencies are the least attenuated of all electromagnetic ema-nations, by salt water.

Unfortunately, from the communication engineer’s viewpoint, the difficulty with op-tical communications lies less with attenuation, than with scattering. It is the presenceof the numerous scattering particles in the sea which militates against long-range opti-cal communication. The use of laser light, because of its narrow, pencil beam, certainlyserves to minimise the scattering volume between transmitter and receiver, but the tech-nique remains fraught and, at this time, largely impracticable except in very specialisedapplication areas.

2.3 The physics of Sound Propagation

2.3.1 Nature of Sound

All physics is based upon the devising of measurements to investigate and models to rep-resent natural phenomena. Imagine our transport medium - the ocean - to resemble athree-dimensional lattice of elastically interconnected ”particles”. Suppose that one par-ticle is displaced from its rest position and then released. The elastic interconnectionbetween the particles will allow a disturbance to propagate outwards from the location of

1Extra Low Frequency - 30Hz to 150 Hz

Page 39: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Underwater Acoustics 8

the initial displacement. Sound is, therefore, a longitudinal wave motion which can existin any compressible transport medium.

The key factor which describes the propagation, physically, is local pressure which isresponsible for creating particle displacement, particle velocity and particle acceleration.A mathematical study of the physics of sound leads to the formulation of ”wave equations”which are differential equations inter-relating the spatial and temporal partial derivativesof pressure. These equations incorporate as a ”constant of proportionality” a quantitywhich determines the rate at which a disturbance propagates through the medium.

This quantity is the speed of sound and is an important characteristic of all physicalmedia which sustain sound propagation and of all engineering materials used in equip-ments for the generation or detection of sound.

One further ”constant of proportionality” is a quantity which relates the scale of dis-placement of particles in the medium to the amplitude of the pressure actually producingthe displacement. This quantity is the analogue of resistance in Ohm’s Law for electricalcircuits. Voltage, in Ohm’s Law, is the analogue of pressure in acoustics being, as it were,the ”motive force” driving particle movement. The analogue of electrical current is notparticle displacement but the vibrational to-and-from velocity of the particles. Particlevelocity is the time-derivative of particle displacement, so these quantities are, themselves,related.

The constant of proportionality relating pressure and particle velocity is known as theacoustic impedance of the medium and is solely determined - as is speed of sound - by thedensity and elasticity of the medium, which are the only fundamental material properties.These are therefore the only quantities that could determine speed of propagation andacoustic impedance.

2.3.2 Physical Properties of Matter

Sound vibration and propagation is actually determined by two core physical phenomena:inertia and elasticity. Inertia is a feature of matter which we observe on a day-to-day basisin the resistance that any massy object has to being moved or deflected from motion. Massand inertia are intimately linked notions. The physical material constant which defines theway in which matter is to be ”price-tagged” in its potential for exhibiting inertia, whichis to say its ability to resist deflection, is density.Density is thus a normalised attribute of matter which, when suitably denormalised, tellsus something about a specific object made of a specific material. The denormalisation

Page 40: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

2.3 The physics of Sound Propagation 9

involves performing the manipulation

ρ =m

V(2.1)

Let us turn to what, for us, is the second core property of matter. Elasticity - whichwe shall define shortly - is the measure of the ability of a material to resist deformation.In this case the denormalisation is of the general form

k =EA

l(2.2)

, where E is Young’s Modulus, A is the cross-sectional area and l is the length.

The one quantity we have assiduously ignored is gravity. The working world of the un-derwater acoustician, being also that of the scuba-diver, is ”gravity-free”. The only sensein which gravity enters the picture is in establishing ambient properties and, in particular,ambient pressure at depth. As gravitational field increases, material becomes more denseand pressure at depth increases. However, gravitational field variability is a very smalleffect and one which almost never worries us.

Consequently, the gravitational acceleration constant would only rarely enter the acous-tician’s calculations. All that would matter, in determining the progress of microscopicpressure variations within the medium, as an acoustic wave propagated, would be theinertia and elasticity of the medium, as determined by its density and elastic constant andas made manifest in soundspeed and acoustic impedance.

Figure 2.2 summarises the analogy between either electrical and acoustical quantities.The use of these experimental parameters and material properties results in developingeither lumped or distributed models of acoustics problems. The concept of a lumpedmodel is one where, by default or by design, the mechanism of energy storage is ”simple”,”single” and thus ”uncoupled” to any other such mechanisms.

In contrast, in jelly on a plate, longitudinal vibration would engender much vibra-tion transversely and at frequencies which could well couple with the longitudinal modes.Vibration would then be ”complex”, resonances would be multiple and the structure dis-tributed. In fact it is possible to model the grave (fundamental, lowest) resonance ofdistributed structures by means of a lumped mass-spring model, but the model leavesmuch to be desired. There is thus an incentive to devise ways of modelling truly dis-tributed structures. The reason for wishing to do this is that it may be too difficult toformulate an analytic solution to the problem.

Page 41: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Underwater Acoustics 10

Figure 2.2: Analogy between electrical and acoustical quantities

We note that, for distributed problems it is the field properties of propagation speedand characteristic impedance which matter.

Geometry enters the problem in defining boundary conditions.

2.3.3 Density

Density is the one physical quantity with which we must, surely, all be familiar. It is, ofcourse, simply the mass per unit volume of a material.

We thus calculate density, ρ , having measured mass M and volume V as

ρ =m

V(2.3)

2.3.4 Elasticity

Elasticity is, as has already been stated, the measure of an object’s ability to resist defor-mation. In this case the material property is one of a number of interlinking statementsof Elastic Modulus. The object property is either its stiffness or its compliance.

Page 42: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

2.3 The physics of Sound Propagation 11

Perhaps the Elastic Constant with which we are most familiar is Young’s Modulus.Young’s modulus is ”the ratio of stress to strain” within a bar of the material.

Young’s Modulus is the slope of the stress-strain graph, so that for an incrementalstress ∆s we have a strain ∆L, where L is the length of our bar and ∆L is the incrementalincrease in length, so that

Y =∆s

∆L/L(2.4)

Figure 2.3: Obtainment of Young’s Modulus

The reader is referred to Figure 2.3 for an interpretation of the meaning of Young’sModulus.

2.3.5 Bulk Modulus of Elasticity

As underwater acousticians, much of what we have to do is concerned with changes ”inthe volume”. Young’s Modulus, which is fine for bars and rods, is then inappropriate. Tocope with elasticity in fluids we define a Bulk Modulus of Elasticity, K, which is still a”stress over strain” but this time change in ”force per unit cross sectional area” is replacedwith change in pressure, ∆P and linear strain becomes ”volumetric strain” which is theincremental change in volume, ∆V for volume V . We then have

K = − ∆P∆V/V

(2.5)

The minus sign is because volume gets smaller as pressure gets bigger. The reader isreferred to Figure 2.4 for an interpretation of Bulk Modulus.

Bulk Modulus would usually, for a solid material, be calculated from Young’s Modulusand Shear Modulus, which we shall describe in the following section.

Page 43: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Underwater Acoustics 12

Figure 2.4: Obtainment of the Bulk Modulus

2.3.6 Shear Modulus

If transducer designers use Young’s Modulus and underwater acousticians employ BulkModulus, a third elastic constant, the Shear Modulus, also known as the Modulus ofRigidity, holds interest for the geotechnics, seismics, civil and mechanical engineeringcommunities.

Shearing is what happens when you take a book, place the palms of your hands on thecover and push the covers in opposite directions. Another example and one which formsthe basis for experiments used to measure shear modulus, is the torsional shear in a bar,when you grab both its ends and twist in opposite directions.

Solids sustain shearing forces; fluids do not. If you try to shear a fluid, your handsjust slip through. By contrast, both solids and fluids will sustain compressional waves.

Figure 2.5: Obtainment of the Shear Modulus

Page 44: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

2.3 The physics of Sound Propagation 13

The Shear Modulus, G, is the ability a solid substance has to resisting deformation byshearing. Again we have a ”shear force” and a ”shear strain” and again we define the shearmodulus as the ratio of stress to strain. The easiest way of appreciating the significanceof the quantities used in calculating Shear Modulus is, however, by means of Figure 2.5.

G = − ∆s∆L/L

(2.6)

where the incremental shear stress is ∆s = ∆FA.

2.3.7 Poisson’s Ratio

When we pull the solid bar, as in Figure 2.6, it is easy to imagine that the bar will becomethinner. What is happening when this occurs is that both tensional and shearing forcesare in operation. Poisson’s Ratio, ν , describes this effect

ν =∆D/D∆L/L

(2.7)

Figure 2.6: Obtainment of Poisson’s Ratio

Poisson’s Ratio has a value which is about 0.3 for most materials. Rubber, however,has a Poisson’s Ratio of 0.5 and some materials even have a negative Poisson’s Ratio.

Poisson’s Ratio is sometimes a useful parameter in Transmission Line Modelling ofacoustic transducers since, as a pressure wave passed along a bar, it causes a swelling orshrinking of the bar depending on whether the region in question is compressed or rarified.

Page 45: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Underwater Acoustics 14

The effect of this is to produce a quite significant change in sound speed as a function ofthe ratio of wavelength to bar diameter.

2.3.8 Sound Speed

A medium can be represented as masses interconnected by springs. Each mass is a ”draw-ing together”, as with cupped hands, of a glob of water at the location point of the mass.The size of the masses is representative of density, which is the tendency of the water topossess inertia. That is, to resist of motion. The springs represent the inter-blob elasticityof the water. That is, they mimic the reluctance the water has to being distorted, whichis to say, compressed or rarified.

If the mass is pushed, it shall be displaced. The force applied is analogous to the localpressure increase above ambient in the water. A squeeze is then produced in the springforward to the mass being pushed and a strain is produced on the backwards spring. Thesqueeze has the effect of transferring force to the second mass. The strain endeavours topull the first mass back to its rest position. Because of the combination of inertia andelasticity, the displacement takes time to move, but eventually progresses forward.

Next case happens when the masses are reduced in size. The springs remain the same.This corresponds to a less dense fluid than water but with the same bulk modulus ofelasticity.

Now when a given force (equivalent to pressure) is applied, the masses being small,the acceleration will be greater. Consequently the particle velocity, which we distinguishfrom the speed at which the squeeze passes along the string, will be greater. This cor-responds to a reduced acoustic impedance because of the reduced density. The particledisplacement will also be greater. The peak acceleration will not occur at the same timeas peak velocity or with the same sense as peak displacement. Whereas particle velocitywill be directly proportional to pressure fluctuation at all frequencies of excitation, bothacceleration and displacement will have frequency dependent magnitudes.

Finally, because of the decreased density, the speed at which the displacement passeswill be greater.

2.3.9 The Acoustic Version of Ohm’s Law

It should by now be clear that local pressure is responsible for producing particle motion.Particle motion is defined by particle displacement, particle velocity and particle accel-eration. Particle displacement is proportional to pressure, in the sense that, the biggerthe pressure amplitude, which is to say, the greater the pressure difference between peaks

Page 46: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

2.3 The physics of Sound Propagation 15

and troughs in the compressive wave, the greater will be the displacement. However, andthis is a very important distinction, because of the mass and elasticity of the medium,when we harmonically - rhythmically - excite the medium, we find that although particledisplacement gets bigger as pressure amplitude gets bigger, the particle movement andpressure fluctuation are not actually in phase with each other but exhibit a 90 deg. phaseseparation.

On closer investigation we discover that it is pressure and particle velocity which areboth proportional to each other and also in phase with each other. These two quantitiesare thus related by means of an ”Acoustic Ohm’s Law”, so that

p = σu (2.8)

where is p is pressure, u is particle velocity and σ = ρc is acoustic impedance.

2.3.10 Sound Speed in Ocean Water

Sound speed, c, is itself determined - through the Wave Equations - by two physicalproperties of the medium, namely its Bulk Modulus, K and its Density, ρ. The inter-relationship between these various quantities is given by the following equation, which isattributed to Newton

c =

√K

ρ(2.9)

In distilled water at 20 deg C and at standard atmospheric pressure, the physicistmeasures, ρ as 998 kg/m3 and K as 2.18 · 109N/m2. We thus calculate sound speed as1481m/s.

In practice, constraints in measurement accuracy of K and ρ limit the value of thisequation in providing a prediction of sound speed. It is, perhaps, sufficient to note thatboth density and elasticity are quantities which depend upon temperature, T , pressure,P and, for real sea-water, chemical composition. Chemical composition is classically ex-pressed in terms of salinity, S and more recently in terms of electrical conductivity, G.Consequently, sound speed may be expressed, to adequate accuracy, as some suitablefunction of temperature, pressure (or depth, Z) and salinity (or conductivity).

c = f(T, P, S) (2.10)

Many equations predicting sound speed from temperature, pressure and salinity havebeen published in the literature. The reader is directed to that by Lovett [Lovett, J.R.]for the definitive appraisal. To show general form, however, we note that the approximate

Page 47: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Underwater Acoustics 16

temperature, depth and salinity dependencies are

∆c∆T

= 1.5m

s · C(2.11)

∆c∆z

= 0.0171s

(2.12)

∆c∆S

= 1.2m

s · ppt(2.13)

and that a useful expression for sound speed is

c = 1445 + T · ∆c∆T

+ z · ∆c∆z

+ S · ∆c∆S

(2.14)

The reader should note that, although T , P and S determine sound speed in ”theoret-ical” sea water refined under laboratory conditions, real sea water contains agents whichmay bring about changes in density and elasticity which have nothing to do with thesequantities.

Most particularly, gas in the form of microbubbles produces marked changes in soundspeed which cannot be predicted by measurement of one of these three values. To makematters even more confusing, gas bubbles may exist in biological organisms, such as pho-tosynthesising phytoplankton and even mechanically entrained bubbles, such as are driveninto the ocean by surface wave action, may become skinned with an organic film whichwill make prediction of their elastic effect in establishing local sound speed very difficult.

To indicate how serious the impact of microbubbles is, the reader may be interestedto know that easily encountered microbubble densities - swarms induced by surface waveaction for example - can bring about a halving of sound speed. This is a massively greaterimpact that would be expected as a consequence of typical changes in T , P and S.

2.3.11 Sound Reflection and Refraction

Sound reflection, that is: specular (”mirror-like”) reflection, obeys the same law as ingeometric optics, Figure 2.7, with

θ1 = θ3 (2.15)

Sound refraction obeys Snell’s law, with

sin(θ2)sin(θ1

=c2c1

(2.16)

Transmission will always take place from lower to higher acoustic impedance. Forexample, sound will always penetrate from air to water, irrespective of angle of incidence.

Page 48: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

2.3 The physics of Sound Propagation 17

Figure 2.7: Reflection and Refraction at a boundary

Total internal reflection can occur if transmission is attempted from a medium of higherto one of lower acoustic impedance and if the angle of incidence is inclined sufficiently farfrom the normal. The reader should recall, from geometric optics, that the critical angleof incidence, θc, occurs when, as the incident ray swings away from the normal, the angleθ2 made between the emergent ray and its normal, increases to graze along the interface,so that θ2 = 90 deg. This marks the onset of internal reflection. Then, sin( theta2) = 1and θ1 = θc = sin−1( c1c2).

2.3.12 Pressure Reflection and Refraction Coefficients

The acoustic impedance of the materials on either side of a boundary determine the de-gree of reflection or transmission across the boundary. Such properties are important indesigning transducers, in determining sea-floor sediment properties acoustically, in sonarmodelling and in assessing target strength. In this section, the materials on either side ofthe boundary are assumed lossless.

An empirical formula which is not restricted by this presumption describes, for prac-tical modelling purposes, loss in acoustic intensity following reflection from the sea-floor.For a detailed analysis of the derivation of the following results, the reader is referred toBrekhovskikh [Brekhovskikh, L.N.] and to Clay and Medwin [Clay, C.S., Medwin, H].

The pressure reflection coefficient at a boundary is given in terms of the incident angle

Page 49: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Underwater Acoustics 18

θ1 by

R12 =p3

p1

=A−BA+B

(2.17)

and the pressure transmission coefficient is given by

T12 =p2

p1

=2A

A+B

(2.18)

where A = σ2cos(θ1) and B = σ1cos(θ2)

Notice that if c1 and c2 have the same value (but could nonetheless be propertiesof materials of differing density and thus differing acoustic impedance - a phenomenonobserved in, for example, some sea-floor sediments) then θ1 and θ2 will have the samevalue and both reflection and transmission coefficients will exhibit values which will beindependent of angle of incidence. These values will be R12 = (σ2 − σ1)/(σ2 + σ1) andT12 = 2σ2/(σ2 + σ1).

2.4 Acoustic Transducers

The objective of this unit is to examine the processes whereby an electrical signal, appro-priately amplified, is converted into a pressure fluctuation in the water, and vice versa. Wethereby recognise a voltage-to-pressure conversion corresponding to acoustic transmittertransduction and pressure-to-voltage conversion corresponding to acoustic receiver trans-duction.

We note that the conversion process may be reciprocal. The same device can oftenbe used to convert electrical signals into pressure waveforms or, alternatively, pressurewaveforms into electrical signals. This is by no means guaranteed, however. The airgunprojectors used in seismic prospecting are not reciprocal devices. Nor, as acoustic receivers,are the sensory cells in the vertebrate inner ear.

2.4.1 Hydrophones

A hydrophone (Greek ”hydro” = ”water” and ”phone” = ”sound”) [Hydrophone] is a mi-crophone designed to be used underwater for recording or listening to underwater sound.Most hydrophones are based on a piezoelectric transducer that generates electricity whensubjected to a pressure change. Such piezoelectric materials, or transducers can converta sound signal into an electrical signal since sound is a pressure wave in fluids. Some

Page 50: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

2.4 Acoustic Transducers 19

transducers can also serve as a projector or emitter.

2.4.2 Structure of the hydrophone

Figure 2.8 shows the stages in the manufacture of a simple hydrophone. In this case,a single, capped tube element is soldered to the cable conductors and encapsulated inneoprene or polyurethane rubber. The design may utilise a tube of any appropriate size,subject primarily to the constraint that the hoop and length mode resonances occur atsignificantly higher frequencies than the hydrophone is intended to detect. The largerthe diameter of the tube, the bigger will be its receiving sensitivity. It is also desirablethat the tube wall be as thin as is practicable. Indeed, there are ratios of wall thicknessto radius which can make the hydrophone virtually useless as a sound detector, becauseof a subtractive interaction between voltages generated within the crystal as a result ofcompression along orthogonal axes [Le Blanc, C.L.].

Figure 2.8: The Basic Hydrophone Design Using a PZT Ceramic Tube

2.4.3 Hydrophone Equivalent Circuit

The hydrophone active element is a piezoelectric tube, which will exhibit a range of reso-nances. The most important are the length and radial mode resonances and one or other ofthese will determine the upper limit of uniform sensitivity for the hydrophone. The equiv-alent circuit for the hydrophone, including in the model only the lowest of its mechanicalresonances, is shown in Figure 2.9. At frequencies well below mechanical resonance - thatis, the normal and preferred regime within which the hydrophone was operated - the in-ductor reactance becomes sufficiently small that this component may be eliminated. Theapplication of a little elementary circuit theory allows us to collapse the equivalent circuitstill further. We now see that the hydrophone is an ac coupled device which will have

Page 51: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Underwater Acoustics 20

a low frequency roll-off determined by the input impedance of the preamplifier it drives.The input impedance of this preamplifier should ideally be as high as possible, if the cutofffrequency is to be kept low.

Figure 2.9: The Progressive Simplification of the Equivalent Circuit of the Below-Resonance Hydrophone

2.5 Underwater Communications

Almost all wireless terrestrial communication involves the use of electromagnetic waves.Unfortunately this approach is not available to the designer of sub-sea wireless commu-nication links. This is because electromagnetic radiation suffers very high transmissionloss in the sea, because of the high conductivity of salt water. By contrast, acoustic ra-diation suffers relatively little attenuation, at least at frequencies below a few hundredkilohertz. Figure 2.10 provides a comparison of the attenuation suffered by both acousticand electromagnetic waves in sea-water. The advantages of using acoustic propagation areevident. Only at optical frequencies does electromagnetic propagation offer an alternativeand even here range is severely limited by scattering caused by particulate material insuspension in the sea.

2.5.1 Noise Background

In order to estimate transmitter power levels needed for a communication system to attaina given range, we need to be able to place bounds on environmental noise. This we attemptto do in Figure 2.11. The lower bound to the area within which most communicationsystems will be required to operate is provided by the ”zero wind-speed, zero shippingdensity” Wenz curves. The upper bound is a composite of data describing noise in coastalwaters and harbours, in bad weather and with much vessel activity.

Page 52: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

2.5 Underwater Communications 21

Figure 2.10: Attenuation of Electromagnetic and Acoustic Energy in Salt Water

Figure 2.11: Range of Ambient Noise Capable of Corrupting the Communication System

2.5.2 Range

Next we look at maximum achievable range. This we do by plotting Transmission Loss,TL, against range, for the frequencies which define the extremes of the VLF, LF, MF andHF sonar transmission frequency bands. These curves, along with the curve for sphericalspreading, are shown in Figure 2.12. It is immediately obvious from this graph that, onceattenuation loss has ”kicked in”, a threshold occurs. There is then no advantage to be

Page 53: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Underwater Acoustics 22

Figure 2.12: Range Threshold as a Function of Transmission Frequency

gained by trying to attain greater range by pumping in more power. From Figure 2.12we may identify the range at which thresholding occurs, at a given frequency and thisrelationship we plot in Figure 2.13.

Figure 2.13: Power Versus Maximum Range or Frequency

2.5.3 Power

Most acoustic communication systems operate in the acoustic LF (1.5-15 kHz), MF (15-150 kHz) and HF (150-1500 kHz) bands. We need to be able to make an informed guessas to power drive levels across this range of frequencies. This cannot be done simply by

Page 54: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

2.5 Underwater Communications 23

recalling typical power drive capabilities in other active sonar applications. This is be-cause communication is a one-way transmission. Consequently losses are markedly lessthan they would be for a more conventional sonar application.

Figure 2.14: Maximum Achievable Range as a Function of Frequency

Using the acoustic attenuation data embodied in Figure 2.10, we have developed a plotof effective maximum range against frequency, Figure 2.12. In order to estimate requiredtransmission power, we make use of the ”sonar equations”. We make the assumption thatour transducers have a bandwidth which is 10% of the carrier frequency. Such transducersare relatively easy to design. We also assume a received signal-to-noise ratio of 10 dB,which should ensure good operation without being unduly wasteful of transmitter power.The result of these computations is shown in Figure 2.14.

The left-hand scale assumes broadcast operation with omnidirectional transducers.This is the very worst operating situation both from the point of energy utilisation and interms of the general corruption of the environment from the point of view of other usersof sonar equipments. If it is logistically possible, it is far better to employ directionalarrays of transducers. The right-hand scale assumes a modest degree of directivity onboth transmitter and receiver, offering an overall antenna gain of 20 dB.

The range of power required, ”best case” to ”worst case” then lies between about 1 mWand 1 W. Thus if our equipment were to be employed for oceanographic data retrieval inocean water, under conditions where the surface was calm and monsoons could be avoided,1 mW of power would be needed to send the data vertically to the surface. Almost always,the designer would opt for substantially greater power than this, because it is easy to do.Even under poor noise conditions, the power requirement - 1 W - is not great and one ortwo orders of magnitude increase on this figure is by no means difficult to achieve.

Page 55: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Underwater Acoustics 24

2.5.4 Reverberation

The key point to note is that signal-to-reverberation ratio does not depend on signal en-ergy, because if the energy is increased, so also will the reverberation, in direct proportion.

Signal-to-reverberation ratio is, however, controlled by the time duration of the trans-mitted pulse and its angular intersection with the scattering surface or scattering volume.

Finally, although this is difficult to prove rigorously unless the scatterer density is high,reverberation waveforms are characterised by Rayleigh envelope statistics.

2.5.4.1 Characteristics of Reverberation

The reverberation signal received by the sonar will be the sum of individual echo-returnsfrom a multiplicity of randomly distributed scatterers. If the transmitted signal is Asin(ωt)then the received reverberation signal vr(t) will be of the form

vr(t) = ΣMm=1sin(ωt+ kxm)

= ΣMm=1sin(ωt+ φm)

(2.19)

where M , the number of scatterers, is presumed to be very large. Since the xm willbe distributed randomly within the scattering volume, it is reasonable to assume that theφm will be randomly and uniformly distributed in the range 0 ≤ φm ≤ 2π. This is thecondition where the Central Limit Theorem of Statistics applies, so the distribution p(vr)of vr will be Normal or Gaussian, with a mean < vr > of zero. This is because eachsinewave has an average value or dc level of zero. The reverberation waveform will have amean-square value < v2

r > which is equal to its power.

The reader will be able to imagine that the waveform vr(t) looks like a sinewave albeitmodulated in amplitude and phase. After all, it is composed of a whole host of singlefrequency, bandlimited sinewave pulses of different amplitudes and phases. We may writea general expression for such a sinewave by noting that both its envelope, Ar and itsphase, φr , will be slowly varying functions of time: Ar(t) and φr(t). How slowly thesequantities will vary will be determined by the bandwidth of the process vr(t) and this,characterising as it does the power spectrum Wr(f) of vr(t), will in turn be determinedby the autocorrelation function Rr(η)⇔Wr(f).

Determining the autocorrelation function will not, in general, be a trivial task. It willdepend - as does the power in the reverberation waveform - on the spatial and temporalcharacteristics of the transmitted pulse and its intersection with the scattering surface orscattering volume. In making these statements it should be noted that we have assumedboth source and scatterers to be fixed in space. Movement of either or both will result in

Page 56: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

2.5 Underwater Communications 25

detailed changes to the reverberation waveshape on a ”ping-to-ping” basis and each suchwaveshape will form one member of an ”ensemble” from which reverberation statistics canbe assembled.

Furthermore, movement of platform or scatterers will add Doppler shift which willcause spectral broadening, thereby complicating the process of establishing convenientmodels of the reverberation enormously.

Figure 2.15: The Distribution Functions Corresponding to the Scattered Waveform

Suffice it to reiterate - and here refer to Figure 2.15 - that the reverberation waveformvr(t) = cos(ωt + φr(t)) for which the power will be N = V 2

r will typically have Gaussianstatistics, pv(r) = (2πNr)−1/2 · exp(−v2

r/2N) that the envelope Ar(t) will typically haveRayleigh statistics p(Ar) = Ar/Nr ·exp(−A2/2Nr) and the phase φ(t) will typically exhibita uniform distribution p(φr) = 1/2π; 0 < φr < 2π

2.5.4.2 Limitations to Performance

We come next to the problem of establishing what we mean when we say ”shallow” or”deep” water. This essentially reduces to identifying conditions of operation which areeither reverberation limited or noise limited. In the first case, we equate reverberation lim-ited communication with operation in water which is shallow by comparison with range. Inthe second, as with the vertical communication link, we note that when the water is deepby comparison with horizontal range, reverberation does not concern us and, inevitably,noise will be the ultimate limiting factor in determining system performance.

In the ”shallow channel”, thus defined, it is technologically feasible to form a narrowbeam and steer it in the main-path direction, thereby discriminating against the multipatharrivals and so eliminating the deleterious effects of reverberation. This strategy ceasesto exert appeal as the channel range:depth ratio increases. As the channel becomes ”veryshallow”, it becomes either technically too difficult, too costly or too impractical to form

Page 57: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Underwater Acoustics 26

the large acoustic apertures needed to achieve the required angular resolution.

However, as beamforming ceases to provide an option, we may have recourse to equal-isation. Adaptive filtering allows us to provide compensation for the now inevitable inter-weaving of the responses from main and multipath signals.

Finally, when the range-depth ratio becomes very large, in excess of 100 : 1, the pathdifferences become so small that equalisation becomes impossible. However, the ”ex-tremely shallow” channel is at least waveguiding the energy from the transmitter in a veryeffective manner. If we can take advantage of this, then good communication may still bepossible.

The strategies we have at our disposal to handle communication in the ”extremelyshallow” channel are threefold. First, we may employ some form of diversity, in orderto establish at the receiver the conditions required for constructive interference. This wemay do by, for example, frequency diversity or spatial diversity. Second, we note thatdifferential signalling eliminates problems of absolute phase recovery. Third, in order fordifferential signalling to be effective we note - and this contradicts received wisdom but isnonetheless demonstrable - that signalling should be at as fast a rate as possible.

In a multipath channel with ”frozen” boundaries and a fixed transmitter and receiver,the effects of multipath as seen at the receiver will vary in space but will not vary withtime. Of course, the sea-surface is a moving boundary and consequently we do observetemporal fluctuations in the amplitude and phase of a received signal. Observation tells usthat the bandwidth of such fluctuation is on the order of 1 Hz. It is thus much slower thanthe rate at which we should anticipate sending signalling symbols. Herein lies the powerof fast, differential signalling, alluded to at the end of the previous paragraph. Further-more, it is also the relative ”slowness” of multipath variability which allows us to employadaptive beamforming or adaptive equalisation in the less severe shallow channels.

We may anticipate that the technical solutions proposed will not always be totallysuccessful. However, assuming that their application brings some significant benefit, weare finally reduced to ensuring that environmental and system noise is adequately maskedby an appropriately high received signal level and this, as we have already seen, is notusually difficult to achieve.

2.5.5 Bit rate

The maximum symbol rate, achievable with a transducer (or channel) bandwidth of BHzis simply B symbols per second. The transducer limits symbol rate; it does not limit bitrate. Suppose, for example, we choose to employ multiphase signalling. If we signal with

Page 58: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

2.5 Underwater Communications 27

M = 2N phases, each phase carries N bits. We see that, whereas the maximum symbolrate remains B per second, the maximum bit rate is NB = Blog2(M)bits/s. Insofar asbandwidth is concerned, one can make the bit rate as high as may be desired, simply byincreasing the number of phases. The factor which theoretically limits the bit rate is theability of the detector to distinguish between adjacent phase states and this is determinedby channel coherence and, ultimately, as we have already seen, by noise.

However, as we have seen, in many situations the power transmission requirement canbe very modest. It is then possible to obtain, without difficulty, signal-to-noise ratioswhich would, in principle, allow signalling with an enormous number of phases. Practicalexperience rapidly shows us that this is not possible. The reason is because the finitetransducer (or ”channel”) bandwidth introduces intersymbol interference. We find that,as we increase the number of phases, intersymbol interference eventually forces us to re-duce the symbol rate below the theoretical maximum.

2.5.6 Keying format

Frequency Shift Keying (FSK) makes less effective use of available transmission bandwidththan Phase Shift Keying (PSK). It is, however, often considered to be a robust keyingtechnique. For this reason, in this project, we choose for FSK. FSK is parametrised interms of modulation index

m =B

Rd− 1 (2.20)

A modulation index of unity, for which Rd = B/2 would often be taken to be a goodcompromise, offering relatively high signalling and minimising error rate for either dis-criminator detection or Phase Lock Loop (PLL) detection.

Multiple Frequency Shift Keying (MFSK) permits the link to hop between severalfrequencies, allowing reverberation on any one frequency to die down, before that frequencyis used again. Whilst this approach undoubtedly works effectively, it offers extremely pooruse of the available channel time-bandwidth product by comparison with FSK or M-aryDifferential Phase Shift Keying (M-DPSK).

Page 59: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Chapter 3

Frequency Shift Keying

This chapter provides some technical background of the project. First, it describes thegeneric characteristics of Coherent Frequency Shift Keying (CFSK). As we intended to useCoherent Binary Frequency Shift Keying technology to transmit our digital information,this chapter deals with the generation of Binary Frequency Shift Keying (BFSK), its errorprobability and its power spectrum. Later on, it also describes the Non-coherent detection ofthis Shift Keying, using the optimum quadratic receiver. More receivers do exist, thoughthey are not mentioned, due to the fact that they are not used or implemented in thisproject.

3.1 Coherent Frequency Shift Keying

M-ary FSK and M-ary QAM share a common property: Both are examples of linearmodulation. In this section we study a nonlinear method of passband data transmission,namely, coherent frequency shift keying. We begin the study by considering the simplecase of Binary FSK.

3.1.1 Binary FSK

In a Binary FSK, symbols 1 and 0 are distinguished from each other by transmitting one oftwo sinusidal waves that differ in frequency by a fixed amount. A typical pair of sinusoidalwaves is described

si(t) =

2EbTbcos(2πfit), 0 ≤ t ≤ Tb

0, elsewhere(3.1)

28

Page 60: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

3.1 Coherent Frequency Shift Keying 29

where i = 1, 2, and Eb is the transmitted signal energy per bit; the transmitted frequencyis

fi = nc+iTb

for some fixed integer nc and i = 1, 2. (3.2)

Thus symbol 1 is represented by s1(t), and symbol 0 by s2(t). The FSK signal de-scibed here is known as Sunde’s FSK. It is a continuous-phase signal in the sense thatphase continuity is always maintained, including the inter-bit switching times. This formof digital modulation is an example of continuous-phase frequency-shift keying, on whichwe have more to say later on in the section.

From Equations 3.1 and 3.2, we observe directly that the signals s1(t) and s2(t) areorthogonal, but not normalized to have unit energy. We therefore deduce that the mostuseful form for the set of orthonormal basis funtions is:

φi(t) =

2Tbcos(2πfit), 0 ≤ t ≤ Tb

0, elsewhere(3.3)

where i = 1, 2. Correspondingly, the coefficient sij for i = 1, 2, and j = 1, 2 is defined by

sij =∫ Tb

0si(t)φi(t) dt

=∫ Tb

0

√2EbTb

cos(2πfit)√

2Tbcos(2πfit)

=

{ √Eb, i = j

0, i 6= j

(3.4)

Thus, unlike coherent binary PSK, a coherent binary FSK system is characterized byhaving a signal space that is two-dimensional (i.e., N=2) with two message points (i.e.,M=2), as shown in Figure 3.1 The two message points are defined as:

s1 =

[ √Eb

0

](3.5)

and

s2 =

[0√Eb

](3.6)

with the Euclidean distance between them equal to√

2Eb. Figure 3.1 also includes acouple of inserts, which show waveforms representative of signals s1(t) and s2(t).

Page 61: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Frequency Shift Keying 30

Figure 3.1: Signal-space diagram for binary FSK system. The diagram also includes twoinserts showing example waveforms of the two modulated signals s1(t) and s2(t)

3.1.2 Error Probability of Binary FSK

The observation vector x has two elements x1 and x2 that are defined by, respectively,

x1 =∫ Tb

0x(t)φ1(t) dt (3.7)

and

x2 =∫ Tb

0x(t)φ2(t) dt (3.8)

where x(t) is the received sinal, the form of which depends on which symbol was trans-mitted. Given that symbol 1 was transmitted, x(t) equals s1(t) + w(t), where w(t) is thesample function of a white Gaussian noise process of zero mean and power spectral densityN0/2. If, on the other hand, symbol 0 was transmitted, x(t) equals s2(t) + w(t).

Now, applying the decision rule1, we find that the observation space is partitioned intotwo decision regions, labeled Z1 and Z2 in Figure 3.1. The decision boundary, separating

1Observation vector x lies in region Zi if the Euclidean distance ‖x− sk‖2 is minimum for k = i

Page 62: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

3.1 Coherent Frequency Shift Keying 31

region Z1 from region Z2 is the perpendicular bisector of the line joining the two messagepoints. The receiver decides in favor of symbol 1 if the received signal point representedby the observation vector x falls inside region Z1. This occurs when x1 > x2. If, on theother hand, we have x1 < x2, the received signal point falls inside region region Z2, andthe receiver decides in favor of symbol 0. On the decision boundary, we have x1 = x2, inwhich case the receiver makes a random guess in favor of symbol 1 or 0.

Define a new Gaussian random variable Y whose sample value y is equal to the differ-ence between x1 and x2: that is,

y = x1 − x2 (3.9)

The mean value of the random variable Y depends on which binary symbol was trans-mitted. Given that symbol 1 was tranmitted, the Gaussian random variables X1 and X2,wose sample values are denoted by x1 and x2, have mean values equal to

√Eb and zero,

respectively. Correspondingly, the conditional mean of the random variable Y , given thatsymbol 1 was transmitted, is

E[Y |1] = E[X1|1]− E[X2|1]

= +√Eb

(3.10)

On the other hand, given that symbol 0 was transmitted, the radom variables X1 and X2

have mean values equal to zero and√Eb, respectively. Correspondingly, the conditional

mean of the random varable Y , given that symbol 0 was transmitted, is

E[Y |0] = E[X1|0]− E[X2|0]

= −√Eb

(3.11)

The variance of the random variable Y is independent of which binary symbol was trans-mitted. Since the random variables X1 and X2 are statistically independent, each with avariance equal to N0/2, it follows that

var[Y ] = var[X1]− var[X2] (3.12)

Suppose we know that symbol 0 was transmitted. The conditional probability densityfunction of the random variable Y is then given by

fY (y|0) =1√

2πN0· e−

(y+√

Eb)2

2N0 (3.13)

Since the condition x1 > x2, or equivalently, y > 0, corresponds to the receiver making adecision in favor of symbol 1, we deduce that the conditional probability of error, given

Page 63: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Frequency Shift Keying 32

that symbol 0 was transmitted, is

p10 = P (y > 0| symbol 0 was sent )

=∫ ∞

0fY (y|0) dy

=1√

2πN0

∫ ∞0

e− (y+

√Eb)2

2N0 dy

(3.14)

Put

y +√Eb√

2N0= z (3.15)

Then, changing the variable of integration form y to z, we may rewrite Equation 3.14 asfollows:

p10 =1√π

∫ ∞√Eb/2N0

e− (y+

√Eb)2

2N0 dy

=12erfc

(√Eb

2N0

) (3.16)

Similarly, we may show the p01, the conditional probability of error given that symbol 1was transmitted, has the same value as in Equation 3.16. Accordingly, averaging p10 andp01, we find the average probability of bit error or, equivalent, the bit error rate of coherentbinary FSK is (assuming equiprobable symbols)

Pe =12erfc

(√Eb

2N0

)(3.17)

Comparing 3.17 with the Pe of a PSK system, we see that in a coherent binary FSKsystem, we have to double the bit energy-to-noise density ratio, Eb/N0, to maintain thesame bit error rate as in a coherent binary PSK system. This result is in perfect accordwith the signal-space diagrams 3.1 and the one of PSK, where we see that in a binaryPSK system the Euclidean distance between the two message poins is equal to 2

√Eb. For

a prediscribed Eb, the minimum distance dmin in binary PSK is therefore√

2 times thatin FSK.

3.1.3 Power Spectra of Binary FSK Signals

Consider the case of Sunde’s FSK, for which the two transmitted frequencies f1 and f2

differ by an amount equal to the bit rate 1/Tb, and their arithmetic mean equals thenominal frequency fc; phase continuity is always maintained, including inter-bit switching

Page 64: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

3.1 Coherent Frequency Shift Keying 33

times. We may express this special binary FSK signal as follows:

st =√

2EbTb

cos

(2πfct±

πt

Tb

)), 0 ≤ t ≤ Tb (3.18)

and using a well-known trigonometric identity, we get

st =√

2EbTb

cos

(±πtTb

)cos(2πfct)−

√2EbTb

sin

(±πtTb

)sin(2πfct)

=√

2EbTb

cos

(πt

Tb

)cos(2πfct)±

√2EbTb

sin

(πt

Tb

)sin(2πfct)

(3.19)

In the last line of Equation 3.19, the plus sign corresponds to transmitting symbol 0, andthe minus sign corresponds to transmitting symbol 1. As before, we assume that the sym-bols 1 and 0 in the random binary wave at the modulator input are equally likely, and thatthe symbols transmitted in adjacent time slots are statistically independent. Then, basedon the representation of Equation 3.19, we may make the following observations pertainingto the in-phase and quadrature components of a binary FSK signal with continuous phase:

1. The in-phase component is completely independent of the input binary wave. Itequals

√2Eb/Tb cos(πt/Tb) for all values of time t. The power spectral density of

this component therefore consists of two delta functions, weighted by the factorEb/2Tb, and occuring at f = ±1/2Tb.

2. The quadrature component is directly related to the input binary wave. During thesignaling interval 0 ≤ t ≤ Tb, it equals −g(t) when we have symbol 1, and +g(t)when we have symbol 0. The symbol shaping g(t) is defined by

g(t) =

2EbTbsin(πtTb

), 0 ≤ t ≤ Tb0, elsewhere

(3.20)

The energy spectral density of this symbol shaping function equals

Ψg(f) =8EbTb cos2(πTbf)π2(4T 2

b f2 − 1)2

(3.21)

The power spectral density of the quadrature component equals Ψg(f)/Tb. It is alsoapparent that the in-phase and quadrature components of the binary FSK signal areindependent of each other. Accordingly, the baseband power spectral density of Sunde’sFSK signal equals the sum of the power spectral densities of these two components, asshown by

SB(f) =Eb2Tb

(f − 1

2Tb

)+ δ

(f +

12Tb

)]+

8EbTb cos2(πTbf)π2(4T 2

b f2 − 1)2

(3.22)

Page 65: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Frequency Shift Keying 34

Substituting Equation 3.22 in the following equation

SS(t) =14

[SB(f − fc) + SB(f + fc)] (3.23)

We find that the power spectrum of the binary FSK signal contains two discrete frequencycomponents locate at (fc + 1/2Tb) = f1 and (fc − 1/2Tb) = f2, with their average powersadding up to one-half the total power of the binary FSK signal. The presence of thesetwo discrete frequency components provides a means of synchronizing the receiver withthe transmitter.

Note also that the baseband power spectral density of a binary FSK signal with con-tinuous phase ultimately falls off as the inverse fourth power of frequency. This is readilyestablished by taking the limit in Equation 3.22 as f approaches infinity. If, however,the FSK signal exhibits phase discontinuity at the inter-bit switching instants (this ariseswhen the two oscillators applying frequencies f1 and f2 operate independently of eachother), the power spectral density ultimately falls off as the inverse square of frequency.Accordingly, an FSK signal with continuous phase does not produce as much interferenceoutside the signal band of interest as an FSK signal with discontinuous phase.

In Figure 3.2, we have plotted the baseband power spectra of PSK (SB(f) = 2Eb sinc2(Tbf))and FSK (Equation 3.22). (To simplify matters, we have only plotted the results for pos-itive frequency.) In both cases, SB(f) is shown normalized with respect to 2Eb, and thefrequency is normalized with respect to the bit rate Rb = 1/Tb. The difference in thefalloff rates of these spectra can be explained on the basis of the pulse shape g(t). Thesmoother the pulse, the faster the drop of spectral tails to zero. Thus, since binary FSK(with continuous phase) has a smoother pulse shape, it has lower sidelobes than binaryPSK.

3.2 Non-Coherent Detection of Signals

Up to this point in our discussion, we have assumed that the receiver is perfectly synchro-nized to the transmitter, and the only channel impairment is noise. In practice, however,it is oftern found that in addition to the uncertainty due to channel noise, there is alsothe uncertainty due to the randomness of certain signal parameters. The usual cause ofthis uncertainty is distortion in the transmission medium. Perhaps the most common ran-dom signal parameter is the carrier phase, which is especially true for narrowband signals.For example, transmission over a multiplicity of paths of different and variable lengths,or rapidly varying delays in the propagating medium from transmitter to receiver, maycause the phase of the received signal to change in a way that the receiver cannot follow.Synchronisation with the phase of the transmitted carrier may then be too costly, and the

Page 66: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

3.2 Non-Coherent Detection of Signals 35

Figure 3.2: Power spectra of binary PSK and FSK signals

designer may simply choose to disregard the phase information in the received signal atthe expense of some degradation in noise performance. A digital communication receiverwith no provision made for carrier phase recovery is said to be noncoherent.

3.2.1 Optimum Quadratic Receiver

Consider a binary digital communication system in which the transmitted signal is

si(t) =

√2ETcos(2πfit),

0 ≤ t ≤ Ti = 1, 2

(3.24)

where E is the signal energy, T is the duration of the signaling interval, and the carrierfrequency fi for the symbol i is an integral multiple o 1/2T . The system is assumed to benoncoherent, in which case teh received signal for an AWGN channel may be written inthe form

x(t) =

√2ETcos(2πfit+ φ) + w(t),

0 ≤ t ≤ Ti = 1, 2

(3.25)

where φ is the unknown carrier phase, and w(t) is the sample funcion of a white Gaussiannoise process of zero mean and power spectral density N0/2. In a real-life situation it isrealistic to assume complete lack of prior information about φ and to treat it as a random

Page 67: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Frequency Shift Keying 36

variable with uniform distribution:

fΘ(θ) =

{1

2π , −π ≤ θ ≤ π0, otherwise

(3.26)

The binary detection problem to be solved may now be stated as follows:Given the received signal x(t) and confronted with the unknown carrier phase θ, de-sign an optimum receiver for detecting symbol si represented by the signal component√E/2T cos(2πfit+ θ) that is contained in x(t).

Proceeding, we may formulate the conditional likelihood function of symbol si, giventhe carrier phase θ, as:

L(si(θ)) = exp

(√E

N0T

∫ T

0x(t) cos(2πfit+ θ) dt

)(3.27)

To proceed further, we have to remove dependence of L(si(θ)) on phase θ, which is achievedby integrating it over all possible values of θ. We may thus write

L(si) =∫ π

−πL(si(θ))fΘ(θ) dθ

=1

∫ π

−πexp

(√E

N0T

∫ T

0x(t) cos(2πfit+ θ) dt

)dθ

(3.28)

Note that the dependence on θ was removed by integrating the likelihood function andnot the log-likelihood function.Using a well-known trigonometric formula, we may expand cos(2πfit+ θ) as

cos(2πfit+ θ) = cos(2πfit) cos(θ)− sin(2πfit) sin(θ) (3.29)

Correspondingly, we may rewrite the integral in the exponent of Equation 3.28 as∫ T

0x(t)cos(2πfit+ θ) dt = cos(θ)

∫ T

0x(t) cos(2πfit) dt− sin(θ)

∫ T

0x(t) sin(2πfit) dt

(3.30)

Define

li =

[(∫ T

0x(t)cos(2πfit) dt

)2

+(∫ T

0x(t) sin(2πfit) dt

)2]1/2

(3.31)

βi = tan−1

(∫ T0 x(t) sin(2πfit) dt∫ T0 x(t)cos(2πfit) dt

)(3.32)

Page 68: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

3.2 Non-Coherent Detection of Signals 37

Hence, we may go one step further and simplify Equation 3.30 to∫ T

0x(t)cos(2πfit+ θ) dt = li(cos(θ)cosβi − sinθsinβi)

= li cos(θ + βi)(3.33)

Accordingly, using Equation 3.33 in Equation 3.28, we obtain:

L(si) =1

∫ π

−πexp

(√E

N0Tlicos(θ + βi)

)dθ

=1

∫ π+βi

−π+βi

exp

(√E

N0Tlicos(θ)

)dθ

=1

∫ π

−πexp

(√E

N0Tlicos(θ)

)dθ

(3.34)

We immediately recognize the integral of Equation 3.34 as the modified Bessel function oforder zero:

I0

(√E

N0Tli

)=

12π

∫ π

−πexp

(√E

N0Tlicos(θ)

)dθ (3.35)

Hence, we may express the likelihood function for the signal detection problem describedherein in the compact form

L(si) = I0

(√E

N0Tli

)(3.36)

The binary hypothesis test (i.e., the hypothese that signal s1(t) or signal s2(t) was trans-mitted) can now be written as

I0

(√E

N0Tl1

)≶H1H2

I0

(√E

N0Tl2

)(3.37)

where hypothesis H1 and H2 correspond to signals s1(t) and s2(t), respectively. Wenote that the modified Bessel function I(·) is a monotonically increasing function of itsargument. Hence the hypothesis test can be carried out in terms of either I0

(√EN0T

li

)or simply li. For convenience of implementation, however, the hypothesis test is carriedout in terms of l2i , as shown by

l21 ≶H1H2

l22 (3.38)

A receiver based on Equation 3.38 is known as the quadratic receiver. In light of the defi-nition of li given in Equation 3.31, the receiver structure for computing li is as shown in

Page 69: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Frequency Shift Keying 38

Figure 3.3 is independent of the symbol energy E. It is for this reason that this hypothesistest is said to be uniformly most powerful with respect to the symbol energy E.

Figure 3.3: Noncoherent quadrature receiver using correlators

Page 70: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Chapter 4

Simulation of the Modem

The modem (modulator - demodulator) is the software and hardware that has been de-veloped during this project. It is supposed to be the first step of a bigger project aboutunderwater communication, so the modem is not yet finished and therefore can not yet beused for safety of life applications. This chapter provides the reader with the simulationof the system. Also some results of this simulation are mentioned. The final system, thatis implemented into the FPGAs is provided in Chapter5

4.1 Requirements

The modem application is intended to modulate binary signals using Frequency Shift Key-ing, sending these in acoustic signals by use of acoustic transducers. The receiver of theapplication must be able to receive and demodulate these signals.

In a later stage of the project this application is intended to be functional inside asubmarine or AUV to demodulate and interpret navigation commands which make it ableto navigate the AUV in real-time. Also a modulator would be implemented in the vehiclewith the purpose to send telemetry data to a control station, stationed at the bank of ariver or at a boat.

4.2 Architecture

The whole system consists of the following components: (i) 2 FPGA Boards, namelySpartan-3A/3AN Starter Kit Boards, wherein the modulator and the demodulator areimplemented, (ii) a transmitter board and a (iii) receiver board, which make the con-nection with the transducers possible; also (iv) two transducers are provided, to convertthe electrical signals into acoustic signals; (v) an amplifier to amplify the electrical signalthat enters the receiving FPGA board, converted from an acoustic wave by the receivingtransducer.

39

Page 71: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Simulation of the Modem 40

Figure 4.1: Architecture of the entire system

4.3 Simulation

Before the implementation of the modulator and demodulator into the FPGA’s, a simula-tion of the whole system was necessary. This simulation was created in Simulink®1, shownin Figure 4.2, to make sure that the modem would work once implemented in hardware.

During the modulation, due to the sequential behaviour of the computer’s processor,different values are used than in the real system, which is implemented in the FPGA. Thefollowing values are used in this simulation:

� carrier frequency f0 = 1 kHz

� carrier frequency f1 = 1.5 kHz

� bit rate fb = 50 Hz, so Tb = 0.02

� delay time Td = Tb/2

� multipath additional delay Td1 = Td/5, with a factor of k1 = 0.2

� ni = 8, so the counter is an 8-bit counter

� noise power Pn = 0.1

� simulation duration = 1 s

4.3.1 Total System

The total system consists of a (i) FSK modulator, a (ii) channel and a (iii) demodulatorwith time synchronisation. The simulation is equipped with a switch to be able to include

1Information available at http://www.mathworks.com/products/simulink/

Page 72: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

4.3 Simulation 41

Figure 4.2: View of the entire system

or exclude the channel in the simulation. An other switch is provided in order to let themodulated information come from the same simulation or from an external source e.g. another computer with the modulator, sending the signal to the speakers, by use of its soundcard.

To make the simulation work it is necessary to place a random integer generator,configured in such a way that it only sends ones or zeros.

4.3.2 Modulator

The modulator, the most simple part of the implemented system, which is shown inFigure 4.3, modulates the bitstream, coming from the random bitstream generator, us-ing Frequency Shift Keying. In the simulation we used two frequencies of 1000 Hz and2000 Hz, in order to be able to use the microphone and the sound card of the computerto send the digital modulated information to another computer. The incoming signal ismultiplied by the carrier with frequency f1 and the inverted signal is multiplied by thecarrier with frequency f0.

The modulated signal is a coherent modulated signal, because the two carrier fre-quencies are multiples of the bit rate. As a result we obtain a Sunde’s Frequency ShiftKeying.

4.3.3 Channel

To simulate the transmission and the behaviour of the water, which has quite a lot ofnoise and reverberation phenomenons, it was desirable to simulate a simplified version ofthis transmission. A certain delay of the wave was added. On top of that a first echo isalso part of the simplified model. As the first echo of a sound wave is the strongest one,we did not intend to simulate more echoes. A view of the simulated transmission channel

Page 73: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Simulation of the Modem 42

Figure 4.3: View of the FSK Modulator

can be seen in Figure 4.4.

Seeing that sound transmission in the ocean, or water in general, also suffers from acertain noise, we added a band-limited white noise source.

Figure 4.4: View of the Channel Block

4.3.4 Demodulator

The demodulator is designed following the Noncoherent Quadrature Receiver using Cor-relators implementation, which was already shown in Figure 3.3.

4.3.4.1 Demodulation

The incoming modulated signal is saturated, and then multiplied by the signals sin(w0t),cos(w0t), sin(w1t) and cos(w1t), respectively. The resulting signals are integrated overa certain period in time in order to get the bitstream out of the modulated signal. In aperfect synchronised system it is possible to start the integration at the beginning of adata bit. The implementation of the simulation can be seen in Figure 4.5.

However, this is not the case. Supposing that we don’t know where the data bit startsor ends, due to the transmission delay, it is useful to integrate for a shorter period of time.Doing so, the amplitude of the signal is extended by the number of integration samplestaken, so after, we multiply by the constant 2/Ni, assuming that Ni is the number of

Page 74: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

4.3 Simulation 43

integration samples for one integration period.

To obtain the energy out of the amplitude, the signal is squared. Adding these values,we obtain to different signals, one signal, power0, which is high for bit values that aresupposed to be one. The other signal, power1, is high for bit values that are supposed tobe zeros. The two obtained signals, should be, in perfect conditions, each others opposites.

These two signals, power0 and power1, are subtracted, obtaining a signal, that is 1when a high data bit was sent and −1 when a low data bit was sent.

Figure 4.5: View of the demodulator

4.3.4.2 Time Synchronisation

A second part of the demodulator is the time synchronisation. Carrier synchronisation isnot needed, as we are using non-coherent FSK demodulation.

This algorithm uses a first-order transition tracking PLL to lock a counter onto thezero crossings of the data waveform, which comes from the demodulator and has an am-plitude from -1 to 1. We will explain the algorithm with a little example.

Having ni samples per bit period, then create a ni-bit counter that counts up from−2ni−1 to 2ni−1 − 1 with rollover. On every new sample, add 2ni/ni to the counter.Every time a zero crossing is detected in the data waveform, we grab the counter valueand downshift it. We subtract this shifted phase error value off of the counter. After atransient period the zero crossings of the counter aligns with the zero crossings of the datawaveform. When the counter wraps over, we sample the data waveform and generate abit decision from the sign of the data sample at that time. The implementation of the

Page 75: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Simulation of the Modem 44

Figure 4.6: View of the Synchronisation Mechanism

synchronisation mechanism is shown in Figure 4.6.

4.4 Results of the Simulation

Some graphical results of the simulation, during the initial phase of the project, are pre-sented in this section. The results are according to the explanation about the simulationin Section 4.3.

4.4.1 Modulator

A graphical result of the data bit waveform is shown in Figure 4.7, which is generated bythe Random Integer Generator, to see in Figure 4.2.

Figure 4.7: View of the Data Bit waveform

Page 76: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

4.4 Results of the Simulation 45

A detailed view of the modulated waveform, coming out of the modulator, is shownin Figure 4.8, which is present on the output of the subsystem fsk mod, see Figure 4.2.This view is a zoom in of the total view, in order to see the different frequencies.

Figure 4.8: Detailed view of the modulated waveform

4.4.2 Channel

A view on the exit of subsystem channel1, also visible in Figure 4.2, the modulated signalwith the noise and delays of the channel, is represented in Figure 4.9. The properties ofthis noise and delays are represented above and are a simplified version of an acousticunderwater communication. A more detailed view of this waveform is shown in Figure 4.10.

Figure 4.9: View of the modulated waveform including noise and delay

Figure 4.10: Detailed view of the modulated waveform including noise and delay

4.4.3 Demodulator

4.4.3.1 Demodulation

After the modulated signal is sent through the channel, it contains noise and additionalsignal, which can be delayed, due to reverberation. The signal is demodulated and down-

Page 77: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Simulation of the Modem 46

sampled. A view of the signal power0 and power1, can be seen in Figures 4.11 and 4.12.Signal power0 is high when a low bit was sent. Accordingly, power1 is high when a highbit was sent.

A difference of these two signals is represented in Figure 4.13.

Figure 4.11: View of the demodulated and downsampled waveform (power0)

Figure 4.12: View of the demodulated and downsampled waveform (power1)

Figure 4.13: View of the demodulated and downsampled waveform

4.4.3.2 Time Synchronisation

Out of the difference of these two signal we can calculate the zero crossings, which areshown in Figure 4.14. For an enlightenment, this signal is present on the output of theMCode block Lookup Table in Figure 4.6.

Multiplied by the value of the counter, after downshifting, the pulses are as shown inFigure 4.15.

Page 78: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

4.4 Results of the Simulation 47

Figure 4.14: View of the pulses according to the zero crossings in the demodulated bit-stream

Figure 4.15: View of the multiplied pulses according to the zero crossings in the demodu-lated bitstream

The counter, which is constantly adapting to the zero crossings, is represented in Fig-ure 4.16. We can verify, according to Figure 4.13, that the counter is not adapting when nozero crossings are detected. A view of the raw bit sequence is represented in Figure 4.17,according to the output of Mcode block Lookup Table, in Figure 4.2.

Figure 4.16: View of the 8-bit counter

Figure 4.17: View of the raw sequence

The pulses, generated when the counter is wrapped, present on the output of subsystem

Page 79: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Simulation of the Modem 48

synchro in Figure 4.2, are shown in Figure 4.18. We can verify that the zero crossings ofthe counter synchronise with the zero crossings of the demodulated bitstream. The rawsequence together with the sampling pulses are represented in Figure 4.18.

Figure 4.18: View of the raw sequence and the sampling pulses

Page 80: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Chapter 5

Implementation of the Modem

The modem (modulator - demodulator) is the software and hardware that has been de-veloped during this project. It is supposed to be the first step of a bigger project aboutunderwater communication, so the modem is not yet finished and therefore can not yet beused for safety of life applications. The goal of this hardware is to send and receive digitalinformation under water, modulated and demodulated inside an FPGA, using frequencyshift keying and sent by use of acoustic waves. These waves are generated by underwatertransducers.

5.1 Xilinx System Generator

The design and implementation of the algorithms for modulation and demodulation intothe FPGA are done making use of Xilinx System Generator®. Xilinx System Generator1

is the industry’s leading high-level tool for designing high-performance DSP systems usingFPGAs. It is mainly used to develop highly parallel systems with the most advancedFPGAs. It can provide system modeling and automatic code generation from Simulink®

and MATLAB® (The MathWorks, Inc.).

5.2 Hardware

5.2.1 Xilinx FPGA Spartan-3AN Board

The programmable hardware for the modulator and the demodulator we are using are twoequal Field Programmable Gate Arrays (FPGAs), model Spartan-3AN2. These FPGAsare implemented in the Spartan-3AN Starter Kit Board, shown in Figure 5.1

The arguments that made us choose for an FPGA-based technology is the highlyprogrammable aspect of an FPGA. Once the FPGA-program is debugged and working

1Information available at http://www.xilinx.com/ise/optional prod/system generator.htm2Information and datasheets available at http://www.nuhorizons.com/xilinx/boards/spartan3AN/index.asp

49

Page 81: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Implementation of the Modem 50

Figure 5.1: Picture of the Spartan-3AN Starter Kit Board

properly, one can search for permanent solutions, that are smaller and more suitable tobe built in. But a development stage in indispensible. The great advantage of an FPGAis a very fast internal clock signal (in our case it is 50 MHz) and the parallellisation ofcalculations, which makes a FPGA-based solution a good option. Choosing an FPGA-based solution is a trade-off between power consumption and computational power. Otheroptions were considered, but turned out to be more expensive for a comparable computa-tional power.

An extra advantage is that the component can be programmed or implemented us-ing visual based tools like Xilinx System Generator, which is a high level code, based onSimulink blocks, using Matlab.

5.2.2 Transmission and Receiving Board

To have a connection between the FPGA and the transducer, it is necessary to have anelectronic circuit which coverts the signals from the FPGA to appropriate signals for thetransducer. On the receiver side, the signals from the transducer have to be converted toappropriate signals for the FPGA, assuming that an amplification board is provided (seealso Section 5.2.3)

An electrical circuit of this board, which is equal for the receiver and the transmitter, isshown in Figure 5.2. Transmitter and receiver, however, use different parts of the circuit,

Page 82: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

5.2 Hardware 51

Figure 5.2: Transmitter and receiver board of the Modem

although one part is common. The transmitter uses the dark grey (in coloured print isthis blue) and the middle grey part (in coloured print is this green) in Figure 5.2. Thereceiver uses the light grey (yellow in coloured print and the middle grey part in this figure.

The transducer is connected to pins TXD+ and TXD- and has a equivalent schemethat is shown in Figure 5.3. The signals from the FPGA are connected to the left sideof the scheme (pins FPGA1 and FPGA2). The signals drive 2 FET’s in a amplifier Bconfiguration (push-pull amplifier). These signals can not be high on the same time andneed a low overlap time of 20 ns.

Figure 5.3: Equivalent scheme of the acoustic transducer

The low voltage signal out of the FPGA board is used to drive the conduction and thecut of the FET’s, by use of the driver circuit. These FET’s commute the primary circuit ofthe transformator, in push-pull configuration, to generate a higher voltage with the same

Page 83: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Implementation of the Modem 52

frequency in the secundary circuit. Ultimately, in the secundary circuit, an inductor isplaced in series with the exit, in order to enter in resonance with the condensator CO ofthe transducer and in such a way augment the amplitude represented to the transducer.The equivalent scheme of the emission circuit is shown in Figure 5.4.

Figure 5.4: Equivalent scheme of the acoustic transducer with driving signal

5.2.3 Amplifier

The analog signal on the exit of the receiver have a very low voltage value (for distancesof 1 km, typically a few µV are measured). Therefore an amplification of a ten thousandtimes is required, in order to become a value between 0 and 5 V on the entrance of theA/D Convertor.

The signal conditioning circuit consists of three amplification stages and a filter. Thethree amplification stages conjointly form a total gain of 10000 V/V, while the filter stageis an active band pass filter. This filter is designed in order to atenuate the frequencycomponents lower than 16 kHz or higher than 30 kHz at a value of 20 dB/decade. Thegain inside this band is unitary but negative.

Figure 5.5: Circuit of the filter

Page 84: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

5.2 Hardware 53

According to the circuit of Figure 5.5 we can write that the filter gain is equal toEquation 5.1 obtaining a bode diagram shown in Figure 5.6.

VoutVin

=R2

1sC1

(R2 + 1sC2

)(R1 + 1sC1

)(5.1)

Figure 5.6: Bode diagram of the filter

The entire circuit is shown in Figure 5.7.

Figure 5.7: Circuit of the amplifier

Notice by Figure 5.6 that the attenuation of the filter is lower than 20 dB/decade.This happens because the poles and zeros are very close to each other, not permitting

Page 85: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Implementation of the Modem 54

their actions to be noticed.

5.2.4 Transducer

The transducers we are using to send the acoustic waves are of the type T217 of Neptune3,as shown in Figure 5.8. The Type T217 is designed for use in transponders, beacons,acoustic release mechanisms and data communication systems. It combines efficient broadband transmission and reception suited to submarine range. The resonant frequency is25 kHz and the operating band goes from 16 kHz to 30 kHz. For frequencies between 20 kHzand 27 kHz, its sensivity is better than -193 dB for reception and 132 dB in transmission(ref. 1V/µPa). Electrical connection to the transmitter and the receiver board is via atwisted screen pair cable.

Figure 5.8: Picture of the transducer

5.3 Implementation in the Hardware

The hardware - in this case we mean the 2 FPGA’s - can be programmed with the algorithmfor modulation and demodulation. This is done by a high level synthesis tool called XilinxSystem Generator, a tool for Simulink, which we have already mentioned. These specialhardware blocks are used in Simulink and can be generated, programmed and implementedfrom Simulink directly into the FPGA.

5.3.1 Modulator

5.3.1.1 FSK Modulator

The modulator, which is shown in Figure 5.10, modulates the bitstream, using FrequencyShift Keying. The implementation is a little bit different from the simulation, because thebitstream is not inverted and multiplied. In this case of the FPGA we use a multiplexer

3Datasheet available at http://www.neptune-sonar.co.uk/t217.asp

Page 86: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

5.3 Implementation in the Hardware 55

Figure 5.9: Detailed view of the entire Modulator implemented in the FPGA

which is driven by the data bit stream. It multiplexes between the frequencies f0 and f1,respectively 21 kHz and 27 kHz. The blocks that generate the sinus give values between0.5 and -0.5, so we multiply the values by two.

The modulated signal is a coherent modulated signal, because the two carrier fre-quencies are multiples of the bit rate, which is 250 Hz. As a result we obtain a Sunde’sFrequency Shift Keying. It takes exactly 84 sinusoidal periods to transmit a low bit at21 kHz and 108 sinusoidal periods to transmit a high bit at 27 kHz.

Figure 5.10: View of the modulator mechanism implemented in the FPGA fsk mod

Page 87: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Implementation of the Modem 56

5.3.1.2 Choise of Wave and Signal

The block SW SWITCH consists of four switches, SW1, SW2, SW3 and SW4,which we all gave a different function to control the modulator, mainly for debuggingof the demodulator. Together with the buttons SOUTH and WEST of the blockBTN BUTTONS, the configuration is shown in Table 5.1.

How this table is realized in hardware is shown in Figures 5.11 and 5.12.

Figure 5.11: View of the choice block for the signal implemented in the FPGA

Figure 5.12: View of the choice block for the bit stream implemented in the FPGA

Page 88: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

5.3 Implementation in the Hardware 57

SW1 SW2 SW3 SW4 WEST SOUTH Sent Signal (modulated)

0 0 0 0 pushed 0 Squared wave (continuous)0 0 0 0 0 pushed Squared wave (one pulse)1 0 0 0 pushed 0 Bit Stream 1 (continuous)1 0 0 0 0 pushed Bit Stream 1 (one pulse)0 1 0 0 pushed 0 Bit Stream 2 (continuous)0 1 0 0 0 pushed Bit Stream 2 (one pulse)1 1 0 0 pushed 0 Bit Stream 3 (continuous)1 1 0 0 0 pushed Bit Stream 3 (one pulse)X X 0 1 X X 21 kHz frequencyX X 1 0 X X 27 kHz frequencyX X 1 1 X X 0 Hz

Table 5.1: Switch and button configurations for modulator

5.3.1.3 Generation of the Bit Wave Forms

The bit stream are programmed in 3 MCode blocks and have a length of 31 bits at a bitrate of 250 Hz. Once a button of the block BTN BUTTONS is pushed, a pulse or acontinuous wave is modulated and sent. A view is shown in Figure 5.13.

The subssytems del dender1 and del dender2 make sure that only one puls is passedon to the system, even if the button is pushed for a longer time than the time of the pulse.

The bit streams that are generated are shown in Table 5.2.

Figure 5.13: View of seq gen block implemented in the FPGA

Page 89: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Implementation of the Modem 58

Bitstream 31-bit Pulse Value

Squared Wave 0101010101010101010101010101010Bit Stream 1 0101010100010011011111001011011Bit Stream 2 0101010111100100010000110100100Bit Stream 3 0010010001011101011001110101110

Table 5.2: Possible generated bit stream

5.3.1.4 Driver for the Transducer

The FSK modulated signal is intended to drive the transmission board, so the signalscoming out of the FPGA board should be adapted. As explained in Section 5.2.2, themodulated signal need to be split in two signal, which are each other’s opposite, with adead period (low value) of minimum 20 ns.

The modulated signal is an 8-bit signal. Then the smallest value is 128 , which is equal

to 0.0039. As we multiplied these signal by 2, we obtain a value 0.0078. The MCode(tristate) block makes out of the modulated signal a signal which has three values, chosenaccording to Equation 5.2.

si(t) =

−1 s(t) < −0.0080 −0.008 < s(t) < 0.0081, s(t) > 0.008

(5.2)

The signal is a signal with three states: 0, -1 and 1. The time that the signal is zero isvery short. The time that the signal is -1 and the time that the signal is 1 are equal. Outof this signal, using two different lookup tables (lookup2 and lookup3 ). Lookup2 simplyconverts the -1 values to 0. Lookup3 converts the -1 values to 1 and the 1 values to zero.So we create two driver signals with a duty cycle that is a little less than 50 procent. Thetime that the two signals are low at the same time, is 280 ns, in order to drive the FETsin the transmission board, which need at least a low overlap of 20 ns.

Figure 5.14: View of the driver of the transducer block implemented in the FPGA

Page 90: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

5.3 Implementation in the Hardware 59

5.3.2 Demodulator

The demodulator of modem is the most difficult part as we have to deal with delays andnoise. The signals from the amplifier are presented to the analog capturing circuit of theSpartan-3AN Board. The modulated signal is demodulated in almost the same way as inthe simulation.

The Demodulator consists of three subsystems, namely ADC, fsk demod and synchro-

sample. The first of the three makes sure that the Analog-to-Digital Convertor is reading,sampling and converting properly. The second, fsk demod takes care of the demodula-tion of the sampled waveform and the third looks after the synchronisation.

To sample the demodulated wave form at the right time, having the sampling pulsesand the demodulated waveform at our’s disposal, we drive the enabled input port of amemory, using the sample signal. The demodulated waveform will be sampled into thememory according to the sample pulses.

In order to have a connection with the PC, this memory has to be a shared memory.The waveform and the sample signal, coming from the synchro - sample subsystem,are sent to this shared memory, called ”Out”. This memory is being shared between theFPGA Board and the PC. The input part of the shared memory, which is a FIFO, is shownin Figure 5.15. An explanation for the use of this memory is also given in Section 5.3.2.4,where the output part of the FIFO is shown.

Figure 5.15: Global view of Demodulator

5.3.2.1 Pre-amplifier and Analog-to-Digital Convertor

The Spartan-3A/3AN Starter Kit board includes a two-channel analog capture circuit,consisting of a Linear Technology programmable preamplifier that scales the incoming

Page 91: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Implementation of the Modem 60

analog signals on the input port A and input port B of the FPGA Board and an Analog-to-Digital Converter (ADC). Both the pre-amplifier and the ADC are serially programmedand controlled by the FPGA. In our case we will only be using one of the input ports, i.e.input A, VINA. This configuration is shown in Figure 5.16.

Figure 5.16: Detailed view of Analog Capture Circuit

The preamplifier provides two independent, inverting amplifiers with programmablegain. The purpose of the amplifier is to scale the incoming voltage on VINA or VINB sothat it maximizes the conversion range of the ADC, namely 1.65 ± 1.25 V.

The analog capture circuit converts the analog voltage on VINA or VINB and convertsit to a 14-bit digital representation, D[13:0], as expressed by Equation 5.3.

D[13 : 0] = GAIN × VIN − 1.65V1.25V

× 8192 (5.3)

Finally, the ADC presents a 14-bit, two’s complement digital output. A 14-bit, two’scomplement number represents values between −213 and 213 − 1. Therefore, the quantityis scaled by 8192, or 213.

To serially program the ADC, it is necessary to have some extra code, which can beseen in Figure 5.17. Four signals have to be sent to the amplifier and the analog-to-digitalconvertor in order to get the serial values from the ADC, being SPI MOSI, AMP CS,

Page 92: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

5.3 Implementation in the Hardware 61

SPI SCK and AD CONV.

Figure 5.17: View of the analog-digital convertor implemented in the FPGA

SPI SCK is the clock on which the amplifier and the ADC work. The clock, in ourcase, is half of the FPGA clock and is therefore 25 MHz. This clock has to be at least 34times higher than the sampling frequency of the ADC, because, according to Figure 5.18,the sampled values of the ADC is given by a serial signal in 34 clock cycles. The maximumsampling frequency with this clock could be 735 kHz.

Figure 5.18: Analog-to-Digital Conversion Interface

Figure 5.19: Detailed view of serial signals to the ADC

A 14-bits representation of the analog signal is presented to the FPGA everytime theAD CONV signal gives a short pulse. This short pulse should not occur more oftenthan every 34 clock cycles of signal SPI SCK and is created using the blocks which

Page 93: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Implementation of the Modem 62

are shown in Figure 5.20. The analog signal is sampled and held until the next pulse ofAD CONV. A slight delay is introduced in the system. The sampling frequency we choseis 500 kHz. In first instance, this was lower. However, there was no reason to keep it so low.

The value 0 in Constant2 in Figure 5.19 is a shutdown signal for the amplifier andthe ADC. In our case, this is not used.

The values SPI MOSI and AMP CS are generated by the Mcode3 (amplifier enabler).These signals have properties according to Figure 5.21. The serial value to program theamplifier is chosen 00001000, or an amplification of -1 dB of the incoming value on en-trance VINA.

Figure 5.20: View of the ADC CONV Block

Figure 5.21: SPI Timing when communicating with amplifier

A serial 14-bits representation of the sample is sent from the ADC to the FPGA.Not in need of the value in VINB, only the value of VINA will be extracted out of thesignal until the next pulse of AD CONV. This is done by the MCode block en counter.

The Mcode serial to parallel 2’s complement, reads the serial value and convertsit to the according decimal value, using 2’s complement representation. The block startsto read and calculate when the MCode block en counter enables this block, representsthe value after reading the 14 bits and holds the value until the next calculation is ready.This signal, at 500 kHz is represented to the demodulator, after a division by 213 or 8192.

Page 94: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

5.3 Implementation in the Hardware 63

5.3.2.2 Demodulation

The demodulator is of the type Noncoherent Quadrature Receiver using Correlators, aswas also mentioned in Section 4.3.4. The version implemented in the FPGA is is shownin Figure 5.22.

The sampled analog signal is represented in a 14 bits (13 decimal bits) value andmultiplied by the values sin(w0t), cos(w0t), sin(w1t) and cos(w1t), respectively. The cal-culations work at the full clock speed, i.e. 50 MHz. The values are again multiplied by2 in order to have a value between -1 and 1, explained in Section 5.3.1.1. The resultingsignals are integrated over a certain period in time in order to get the bitstream out ofthe modulated signal.

This integration is done by the MCode intDump in the subsystem int dump square,which is shown in Figure 5.23. The integration is 12500 samples, which makes the resultingsignal sampling frequency equal to 4 kHz. Every data bit with a period of 1/250, in perfectconditions, should consist of 16 samples (4 kHz). This signal is multiplied by 1/12500 inorder to scale it down between 1 and -1.

Figure 5.22: View of the FSK Demodulator implemented in the FPGA

Figure 5.23: View of the Integrate and Dump and Square Block implemented in the FPGA

Page 95: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Implementation of the Modem 64

Baseband filter The two quadrature components of each carrier frequency are addedto each other and thus we become a representation of the sent bits. Due to noise anddelays it is necessary to filter the signal, using a Low Pass Filter (LPF). The filter is aDirect-Form 7th order Finite Impuls Response Filter (FIR Filter) with a pass frequency of250 Hz and a stop frequency of 8 times this value, so 2000 Hz, with an attenuation of 60 dB.The sampling frequency is 8000 Hz. We designed the filter using Matlab and obtained thecoefficients shown in Equation 5.4. The magnitude response is shown Figure 5.24.

H(z) = 0.0198 + 0.0694 · z−1 + 0.1388 · z−2 + 0.1909 · z−3

+ 0.1909 · z−4 + 0.1388 · z−5 + 0.0694 · z−6 + 0.0198 · z−7(5.4)

Figure 5.24: Magnitude response of the Low Pass Filter

The designed filter is implemented in the system using only delays, constants andadders. Blocks to simulate filters do exist in System Generator. However, we chose thisimplementation with constants because the Spartan-3AN is quite new and the versions ofSystem Generator are not yet adapted to these newest FPGA series. Therefore the filterblocks can’t not yet be used with the Spartan-3AN FPGA.

In Figure 5.26 we can also see a Mblock called del NaN. This block simply convertsvalues that are Not-a-Number (NaN) into zero. In the very beginning of the simulation, the

Page 96: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

5.3 Implementation in the Hardware 65

Figure 5.25: View of the FIR Baseband Filter itself implemented in the FPGA

Low Pass Filter tries to filter the values, but when the modulated signal hasn’t arrived yet,it returns Not-a-Number. This causes problems in the following blocks so it is necessaryto delete these NaNs.

Figure 5.26: View of the FIR Baseband Filter implemented in the FPGA

5.3.2.3 Time Synchronisation

According to the algorithm explained in Section 4.3.4.2 and as we know that the signal wasdownsampled to a sampling frequency of 4 kHz, we are having 16 samples per bit period4000250 = 16. Having these, we create a 16-bit counter that counts up from −215 (-32768) to

215 − 1 (32867) with rollover. On every new sample, we are adding 216/16 (4096) to thecounter. Every time a zero crossing is detected in the data waveform, we grab the countervalue and downshift it. We subtract this shifted phase error value off of the counter.After a transient period the zero crossings of the counter aligns with the zero crossings

Page 97: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Implementation of the Modem 66

of the data waveform. When the counter wraps over, we sample the data waveform andgenerate a bit decision from the sign of the data sample at that time. The implementationof the synchronisation mechanism is shown in Figures 5.27 and 5.28.

In Figure 5.27 we can see that the signal goes through the MBlock signum. Thesignum function transforms the incoming bitstream according to Equation 5.5.

si(t) =

−1 s(t) < 00 s(t) = 01, s(t) > 0

(5.5)

The MCode Lookup2 converts the values -1 to 0, so we have a data waveform of zerosand ones.

Figure 5.27: View of the Synchronisation mechanism implemented in the FPGA

Inside the subsystem synchro, which is shown in Figure 5.28, the signal gets delayedand multiplied by itself, in order to have a high pulse everytime when there is a zero-crossing. This pulse is multiplied and added (or substracted) to the counter to have thesignal jumped forward or delayed, respectively, so the pulses, out of the MCode Wrap,will synchronize with the middle of the bit periods.

The two signals, sample and seq out are presented to the shared memory, a whichonly writes the value into the memory when the sample signal is high.

5.3.2.4 Connection with PC

In order to have a connection with the PC, a shared FIFO was placed. The input side ofthe FIFO was placed on the side of the FPGA, the output side of the FIFO was placed onthe side of the PC, working with a different clock. This part of the FIFO does not make

Page 98: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

5.3 Implementation in the Hardware 67

Figure 5.28: View of the Synchronisation mechanism implemented in the FPGA (Subsys-tem synchro)

use of the FPGA clock, but it makes use of a clock generated in the Simulink environment.Therefore it can not be so high. It runs at 4 kHz in our case.

The output port empty of the FIFO is connected to the re (read enable), so everytimethe FPGA writes something to the memory, the computer environment reads the valueand triggers it to the workspace. This configuration can be seen in Figure 5.29.

Figure 5.29: View of the Data Waveform Connection to the PC

Page 99: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Implementation of the Modem 68

5.4 Preliminary Tests

Figure 5.30: Positioning of the preliminary tests in the pool

To test the functioning of the modulator and the demodulator, we made a line-up ofthe hardware in a pool, shown in Figure 5.30. The modulator is placed at point A andthe demodulator in point B. A vertical wall is present at the right side of pool, where wesuppose to have a strong reflection. A beach of stones is situated on the left side of thepool, where we suppose to have very weak reflections. The water is very shallow, about50 cm in height. The distance between point A and point C is about 7 m. The distancebetween point A is more or less 10 m. As we were only using a part of the pool, the widthis about 1 m. Considering the small height and width, we are supposing to have a ”waveguide” for the sound.

As we know that the speed of sound in water is more or less 1,5 km and the durationof one bit is 0.004 ms, we know that the length of one sent bit is 6 m. Considering thatthe transducers are omnidirectional, we can say that a first echo of the sound, returningfrom point C, will arrive in point A, 2.3 bits later than the signal that A is sending atthat moment. Considering that this reflection is very strong and added to the signal, wesuppose that this is the reason that the demodulated signal (sending a 50 procent dutycycle modulated wave) did not always have a duty cycle of 50 procent in B.

Sometimes the demodulation of this squared wave or arbitrary waves was good, andin these cases the synchronisation worked well. Other times the demodulation or synchro-nisation was completely lost.

Some solution to help the synchronisation be more stable is to lower the constant inthe loop back. The synchronisation wont be so fast, but it will less suffer from instabilitywhen the duty cycle is not close to 50 procent.

More tests in larger water environments need to be fullfilled in order to know whatpossible problems can appear and how to solve them. Once the basic communication istotally transparent, one can use this communication for higher purposes.

Page 100: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Chapter 6

Conclusions and Future Work

Wireless communication is subjected to multiple error sources and should be handled withcare. This project about the implementation of an acoustic modem is the first step of alarger project. To improve and enhance the functionality of this hardware, several im-provements can be performed, so the system will be capable of fulfilling entirely its goal.

6.1 Conclusions

The Modulator was intended to send an arbitrary bit waveform using the FSK modulationscheme, by use of two different carrier frequencies.

To achieve this goal different tasks were performed:

1. Generating different bit streams, continuous or pulsed;

2. Creation of the choice block in order to debug easier;

3. Modulating of the chosen pulsed or continuous bit stream, using the FSK Modulationscheme;

4. Driving the transmission board of the acoustic transducer;

5. Sending the acoustic waves using an acoustic transducer.

The Demodulator was intended to receive a modulated acoustic waveform using theFSK modulation scheme, consisting of two different carrier frequencies. This modulatedwaveform needs to be demodulated and sampled using a time synchronisation algorithm.

To achieve this goal different tasks were performed:

1. Receiving the acoustic signal using an acoustic transducer;

2. Amplification of the acquired signal;

3. The synchronisation algorithm is sometimes unstable due to noise. An extra lowpass filter was implemented between the amplifier and the FPGA Board.

69

Page 101: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Conclusions and Future Work 70

4. Activation and configuration of the pre-amplifier and the Analog-to-Digital Conver-tor;

5. Sampling of the analog signal;

6. Demodulation of the obtained waveform;

7. Synchronisation and downsampling of the obtained waveform;

6.2 Limitations

As all applications, this first version of the Acoustic Underwater Modem, also presentssome limitations:

� The modulator has no connection with the PC and can therefore only send predefinedbit streams. Mind that the modulator can also send one frequency for debuggingpurposes.

� Although an extra low pass filter was implemented between the amplifier and theFPGA Board, the algorithm still suffers from unstable moments, nevertheless thesituation much better than before. This unstability can be caused due to highreverberation, as the system was yet only tested in a very small water basin.

� The connection of the demodulator with the PC is uncertain. The values obtained bythe PC are therefore not certain and the modulated bitstream is not yet to comparewith the sent bit waveform.

� The system has yet no error detection nor correction methods.

� As the system was only tested in a water basin with high reverberation fields, thedemodulated bit streams were sometimes highly deformed. Thus the synchronisationalgorithm had difficulties to synchronize. We expect that this would be better inmore open water.

� For later purposes, the system without PC is not yet ready to interpret binarymessages.

6.3 Future Work

In summary, the future lines of work suggested try to address the identified limitations:

� In order to augment the transparency of the system, a error detection and correctionencoding should be implemented.

� The system should read messages from a PC connected to the FPGA and modulatethese, sending them the same way.

Page 102: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

6.3 Future Work 71

� The synchronisation algorithm should be improved.

� There should be checked if a band pass filter is necessary, in order to attenuateout-of-band signals.

� The system should be tested in open water.

� For later purposes, the system should be able to interpret messages in order to followcommands or instructions in real time.

� The project has come to a moment, where Xilinx Generator is no longer an optionfor a fully operational system. This was a good option for a test version of thisModem, however for further development of the system, it would be better to switchover to a fully programmed Verilog or VHDL version of the system.

Page 103: Akoestische link tussen een onderwatervoertuig en een ...lib.ugent.be/fulltxt/RUG01/001/312/592/RUG01-001312592_2010_0001... · Akoestische link tussen een onderwatervoertuig en een

Bibliography

[MacCurdy, E.] MacCurdy, E.,”The Notebooks of Leonardo da Vinci”, Garden CityPublishing Co., New York (1942)

[Fessenden, R.A.] Fessenden, R.A., US Patent Application 744,793 (1913)

[Lovett, J.R.], ”Merged Sea-Water Sound Speed Equations”, J. Acoust. Soc. Am., 63 (6),June 1978, pp. 1713-1718

[Brekhovskikh, L.N.] Brekhovskikh, L.N., ”Waves in Layered Media”, Academic Press,New York (1960)

[Clay, C.S., Medwin, H] Clay, C.S. and Medwin, H., ”Acoustical Oceanography”, Wiley,New York (1977), 61 - 71

[Hydrophone] Wikipedia, ”Hydrophone”, available at”http://en.wikipedia.org/wiki/Hydrophone”

[Le Blanc, C.L.] Le Blanc, C.L., ”Handbook of Hydrophone Element Design Technology”,NUSC Tech. Doc. 5813, October 1978

[Haykin, 2001] Haykin, S. (2006) ”Communication Systems”, 4th edition

72