Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het...

194
Situatieschets. Men kan het "elektronica" gebied opsplitsen in twee belangrijke hoofdgroepen. Aan de ene kant de analo- ge technieken en aan de andere kant de digitale technieken. Als we een elektronische schakeling van naderbij bekijken, ontstaat volgend blokschema. Een opnemer of sensor converteert het te meten signaal (temperatuur, druk, toerental, …) naar een ana- loge spanning. Deze verplaatst zich via de transmissielijn tot aan de verwerkingseenheid, die op zijn beurt de aangeboden informatie bewerkt. De verwerkingseenheid kan bijvoorbeeld de spanningsversterking op zich nemen, of een spanningsvergelijking t.o.v. een referentieniveau doorvoeren…. Eenmaal de bewerking uitgevoerd, verplaatst de informatie zich naar de weergave-eenheid. Denk maar aan een beeldscherm, een luidspreker of een motor. De stippellijn geeft aan dat er eventueel een gedeelte van het resultaat mee het nieuwe resultaat bepaalt. Men spreekt dan van een terugkoppeling. Er ontstaat een servosysteem. Op deze manier kan men even- tueel het toerental van een motor constant houden bij een veranderlijke belasting. Enkele decennia geleden gebeurde de signaalverwerking volledig met analoge componenten zoals ver- sterkerbuizen, transistoren en FET's. Er vallen wel enkele nadelen aan dit systeem op te merken. Vooreerst zijn er de storingspulsen die via de transmissielijnen op de informatie wordt gesupperponeerd. Gebeurt dit voor de verwerkingseenheid, dan zal deze de vervormde en dus foutieve informatie behandelen. De stoorpulsen worden evenzeer versterkt door de schakeling. Het foutieve resultaat komt dus op de weergaveneenheid terecht, met alle gevolgen vandien. Een tweede groot nadeel is de tolerantie die op elk type component optreedt. Willen we bijvoorbeeld een defecte transistor vervangen door een identiek type transistor, dan kan de spanningsversterking makkelijk tot 10% variëren. Om dit tegen te gaan zal de schakeling rond de transistor deze fouten, via tegenkoppe- lingen, opvangt. De schakeling wordt daardoor meestal ingewikkeld. Andere foutfactoren zijn de niet-lineariteit van de componenten en de temperatuursgevoeligheid van de schakeling. Ook het bewaren van analoge informatie blijft een moeilijke zoniet onmogelijke klus. Op dit moment zal men de signaalverwerking vanuit een ander perspectief benaderen. Informatie bestaat uit een groep van enen en nullen. Deze "logische" waarden komen in de praktijk vaak overeen met res- pectievelijk 5V (voedingsspanning) en 0V (massa dus). De wetenschap die zich met deze vorm van infor- matiebehandeling bezighoudt noemt men de "digitale technieken" en steunt zich op Booleaanse algebra als vereenvoudigingsvorm. De theorie achter dit alles is reeds lang bekend, maar kende pas zijn doorbraak op het moment dat men volledige schakelingen kon integreren op één chip. De elementaire componenten die deel uitmaken van een digitaal circuit noemt men poorten. Opnemer Verwerking Weergave Transmissielijn Transmissielijn Terugkoppeling DIGITALE TECHNIEKEN 1 Voorwoord.

Transcript of Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het...

Page 1: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Situatieschets.

Men kan het "elektronica" gebied opsplitsen in twee belangrijke hoofdgroepen. Aan de ene kant de analo-ge technieken en aan de andere kant de digitale technieken .

Als we een elektronische schakeling van naderbij bekijken, ontstaat volgend blokschema.

Een opnemer of sensor converteert het te meten signaal (temperatuur, druk, toerental, …) naar een ana-loge spanning. Deze verplaatst zich via de transmissielijn tot aan de verwerkingseenheid, die op zijn beurtde aangeboden informatie bewerkt. De verwerkingseenheid kan bijvoorbeeld de spanningsversterking opzich nemen, of een spanningsvergelijking t.o.v. een referentieniveau doorvoeren….Eenmaal de bewerking uitgevoerd, verplaatst de informatie zich naar de weergave-eenheid. Denk maaraan een beeldscherm, een luidspreker of een motor.

De stippellijn geeft aan dat er eventueel een gedeelte van het resultaat mee het nieuwe resultaat bepaalt.Men spreekt dan van een terugkoppeling. Er ontstaat een servosysteem. Op deze manier kan men even-tueel het toerental van een motor constant houden bij een veranderlijke belasting.

Enkele decennia geleden gebeurde de signaalverwerking volledig met analoge componenten zoals ver-sterkerbuizen, transistoren en FET's.

Er vallen wel enkele nadelen aan dit systeem op te merken. Vooreerst zijn er de storingspulsen die via detransmissielijnen op de informatie wordt gesupperponeerd. Gebeurt dit voor de verwerkingseenheid, danzal deze de vervormde en dus foutieve informatie behandelen. De stoorpulsen worden evenzeer versterktdoor de schakeling. Het foutieve resultaat komt dus op de weergaveneenheid terecht, met alle gevolgenvandien.Een tweede groot nadeel is de tolerantie die op elk type component optreedt. Willen we bijvoorbeeld eendefecte transistor vervangen door een identiek type transistor, dan kan de spanningsversterking makkelijktot 10% variëren. Om dit tegen te gaan zal de schakeling rond de transistor deze fouten, via tegenkoppe-lingen, opvangt. De schakeling wordt daardoor meestal ingewikkeld.Andere foutfactoren zijn de niet-lineariteit van de componenten en de temperatuursgevoeligheid van deschakeling. Ook het bewaren van analoge informatie blijft een moeilijke zoniet onmogelijke klus.

Op dit moment zal men de signaalverwerking vanuit een ander perspectief benaderen. Informatie bestaatuit een groep van enen en nullen. Deze "logische" waarden komen in de praktijk vaak overeen met res-pectievelijk 5V (voedingsspanning) en 0V (massa dus). De wetenschap die zich met deze vorm van infor-matiebehandeling bezighoudt noemt men de "digitale technieken" en steunt zich op Booleaanse algebraals vereenvoudigingsvorm.De theorie achter dit alles is reeds lang bekend, maar kende pas zijn doorbraak op het moment dat menvolledige schakelingen kon integreren op één chip.De elementaire componenten die deel uitmaken van een digitaal circuit noemt men poorten.

Opnemer Verwerking WeergaveTransmissielijn Transmissielijn

Terugkoppeling

DIGITALE TECHNIEKEN 1

Voorwoord.

Page 2: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

De voordelen van digitale signaalverwerking zijn onder andere het eenvoudig reconstrueren van het origi-nele signaal. Storingspulsen die het signaal opneemt over de transmissielijn worden volledig weggewerkt.

Er bestaan zelfs technieken om eventuele fouten (een "0" verzonden wordt als een "1" ontvangen) te de-tecteren, te lokaliseren en eventueel te herstellen.Wiskundige bewerkingen worden kinderspel. Denk maar aan de miniatuur rekentoestellen die aantonendat er vrij weinig componenten nodig zijn. Signaalverwerking verloopt razendsnel, tot enkele miljoenen in-structie per seconde. Informatie opslag verloopt uiterst nauwkeurig op allerhande opslagmedia: geheugenIC's (ROM, RAM), magneetbanden, CD's enzovoort.

In een digitale wereld kan ons blokschema als volgt worden voorgesteld:

Merk op dat de opnemer en de weergave eenheid zowel analoog (potmeter, TV scherm) als digitaal (toet-senbord, liquid display) kunnen zijn. Op het moment dat één van beide analoge informatie bevat, zal ereen conversie plaatsvinden tussen het analoge en digitale gedeelte.

Hiervoor zorgt de analoog-digitaal convertor (ADC) en de digitaal-analoog convertor (DAC). Tracht steedsdigitale informatie over de transmissielijnen te sturen, dit om eventuele storingen weg te werken.

Opnemer Verwerking Weergave

Geheugen

Digitaal

Transmissielijn Transmissielijn

Terugkoppeling

1

Transmissielijn

VerwerkingseenheidZender

DIGITALE TECHNIEKEN 2

Page 3: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk toe op de di-gitale reeksen. De beperkende factor binnen deze evolutie is nog steeds de miniaturisatiemoeilijkheid.

Analoge componenten zullen in ieder geval blijven bestaan. Een digitale component kan immers geenvermogens sturen. Hij kan wel een handje toesteken bij de verwerking van de bijhorende informatie.De transistor of FET vormt nog steeds de basis van elke digitale component.

Over deze cursus.

Deze cursus ondersteunt het ontwerpen en het gebruik van een aantal digitale bouwstenen, die vervol-gens tot een complex geheel zullen leiden. Geïntegreerde schakelingen of IC's vormen de componentenbinnen een digitaal systeem.

Een component kan allerlei functies bevatten. Vooreerst zijn er de poorten. Dit zijn de basisblokken. Dezeworden gecombineerd tot functies, en als dusdanig op de markt gebracht. Denk hierbij aan een optelscha-keling, flip flops en tellers. Het is nu aan de ontwerper om , afhankelijk van het project, de geschikte func-tionele bouwstenen op te zoeken en deze vervolgens op een correcte manier met elkaar te verbinden.

Verder is het van belang te weten dat een geïntegreerde schakeling wordt opgebouwd rond verschillendetechnologieën zoals: TTL, CMOS, BiMOS, ECL enz…De belangrijkste verschillen vinden we terug in de schakelsnelheden en het vermogenverbruik. Hou er te-vens rekening mee dat componenten, opgebouwd rond verschillende technologieën, meestal niet recht-streeks signalen kunnen uitwisselen. Over dit onderwerp ontvangt U meer informatie tijdens de practicalessen.

De ontwerpmethodiek van de besproken functies bestaat uit een aantal stappen. We vertrekken steedsvan een basisidee dat stelselmatig wordt uitgebouwd tot een component. Verder komen een aantal com-ponenten uit de praktijk aan bod, aangevuld met voorbeelden en toepassingen. Alle schakelingen wordengecontroleerd aan de hand van simulaties.

Opnemer ADC Verwerking DAC Weergave

Geheugen

Analoog Digitaal Analoog

Transmissielijn Transmissielijn

Terugkoppeling

DIGITALE TECHNIEKEN 3

Page 4: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

S

R

S

R1

01

1

1

+5V

+5V

CLK

In1

Out1

Out2

≥1

≥1

+5V

DIGITALE TECHNIEKEN 4

Page 5: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Voorwoord. Pagina.

Inhoudstafel.

Hoofdstuk 1 : Binaire poorten en basisfuncties.

1. Binaire logica. 1.12. De waarheidstabel en het tijdsdiagram. 1.23. Elementaire basisfuncties en basispoorten. 1.2

3.1 Inversie. 1.33.2 EN-operatie. 1.33.3 OF-operatie. 1.4

4. Afgeleide basisfuncties en afgeleide poorten. 1.54.1 NAND-poort. 1.54.2 NOR-poort. 1.54.3 EXOR-poort. 1.64.4 EXNOR-poort. 1.7

5. Samenvatting logische poorten. 1.86. Het verband tussen de logische vergelijking, het schema,

de waarheidstabel en het tijdsdiagram. 1.86.1 Het schema is gekend. 1.86.2 De waarheidstabel is gekend. 1.106.3 De logische functie is gekend. 1.116.4 Het tijdsdiagram is gekend. 1.13

7. In- en uitgangspolariteit van logische componenten. 1.147.1 Uitgangspolariteit. 1.147.2 Ingangspolariteit. 1.14

8. Toepassingen. 1.16

Hoofdstuk 2 : Vereenvoudigen van logische functies.

1. Minimalisatie volgens de Booleaanse algebra. 2.11.1 Theorema's met één veranderlijke. 2.11.2 Commutatieve en associatieve theorema's. 2.21.3 Distributieve theorema's 2.21.4 Absorptietheorema's. 2.21.5 Theorema's van de Morgan. 2.31.6 Consensustheorema's. 2.41.7 Samenvatting van de belangrijkste vereenvoudigingsregels. 2.41.8 Conclusies met betrekking tot de Booleaanse vereenvoudigingsregels. 2.51.9 Enkele opgeloste voorbeelden. 2.6

2. Minimalisatie met behulp van een Karnaughkaart. 2.72.1 Karnaughkaart tot en met 4 veranderlijken. 2.82.2 Invullen van de Karnaughkaart. 2.82.3 Vereenvoudigen van de Karnaughkaart. 2.102.4 Onvolledige functies. 2.122.5 Karnaughkaart voor 5 en 6 veranderlijken. 2.12

3. Reduceren van het aantal componenten. 2.133.1 NAND- en NOR-poort als universele component. 2.143.2 Reductie van het aantal IC's. 2.14

4. Toepassingen. 2.16

DIGITALE TECHNIEKEN Inhoudstafel 1

Inhoudstafel

Page 6: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Hoofdstuk 3 : Talstelsels en elementaire bewerkingen.

1. Talstelsels. 3.11.1 Het decimaal talstelsel. 3.11.2 Het hexadecimaal talstelsel. 3.1

2. Conversiemethodes tussen de verschillende talstelsels. 3.22.1 Van grondtal X naar decimaal. 3.22.2 Van decimaal naar grondtal X. 3.32.3 Van binair naar hexadecimaal. 3.32.4 Van hexadecimaal naar binair. 3.4

3. Voorstelling van positieve en negatieve getallen. 3.44. Bewerkingen op binaire getallen. 3.5

4.1 De optelling. 3.64.2 De aftrekking. 3.64.3 De vermenigvuldiging. 3.6

5. Overflow. 3.76. Floating-point getallen. 3.77. Toepassingen. 3.8

Hoofdstuk 4 : Codes en codeomvormers.

1. Numerische codes. 4.11.1 BCD-code. 4.21.2 XC3-code. 4.31.3 AIKEN-code. 4.31.4 GRAY-code. 4.31.5 7-segment code. 4.4

2. Alfanumerische codes. 4.52.1 EBCDIC-code. 4.52.2 Hollerith-code. 4.52.3 Barcode. 4.52.4 ASCII-code. 4.5

3. Codeomvormers. 4.63.1 DEC/BCD encoder volgens de diodematrix. 4.63.2 DEC/BCD encoder met poorten. 4.73.3 BIN/DEC decoder. 4.123.4 BCD/DEC decoder. 4.123.5 BCD/7-segment decoder. 4.143.6 Codeomvormers (BCD/AIKEN). 4.19

4. Toepassingen. 4.22

Hoofdstuk 5 : Comparator.

1. 1-bit comparator. 5.12. 2-bit comparator. 5.23. 4-bit comparator. 5.44. De 74xx85 (4-bit magnitude comparator). 5.4

4.1 De 74xx85 als comparator voor minder dan 4 bits. 5.54.2 De 74xx85 als comparator voor meer dan 4 bits. 5.7

5. Toepassingen. 5.8

DIGITALE TECHNIEKEN Inhoudstafel 2

Page 7: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Hoofdstuk 6 : Multiplexer en demultiplexer.

1. 4 naar 1 multiplexer. 6.22. 1 naar 4 demultiplexer. 6.23. Ontwerpen van logische functies. 6.3

3.1 Functiegenerator zonder restveranderlijken. 6.33.2 Functiegenerator met één restveranderlijke. 6.63.3 Functiegenerator met twee restveranderlijken. 6.8

4. Ontwerpen van codeomvormers. 6.105. Elementaire datacommunicatie.

5.1 Basisprincipe. 6.125.2 4-bit brede seriële synchrone datatransmissie. 6.12

6. Overwakingssysteem. 6.147. Toepassingen. 6.16

Hoofdstuk 7 : Adders.

1. 2 x 1-bit opteller. 7.11.1 Half adder. 7.11.2 Full adder. 7.1

2. Principeschakeling van een 4-bit opteller. 7.23. 4-bit op-afteller. 7.5

3.1 4-bit afteller. 7.53.2 4-bit op-afteller. 7.53.3 Gecombineerde op-afteller met zevensegment uitlezing. 7.6

4. Opteller met variabele woordlengte. 7.84.1 Woorden kleiner dan 4 bits. 7.84.2 Woorden groter dan 4 bits. 7.8

5. 4-bit serie-opteller. 7.86. 4-bit BCD opteller. 7.107. 4-bit vermenigvuldiger. 7.108. BCD - BIN codeomvormer met adders. 7.129. Toepassingen. 7.14

Hoofdstuk 8 : Pariteitscontrole.

1. 4-bit pariteitsgenerator. 8.12. De 74xx280 (9-bit parity controler / checker). 8.23. Oneven pariteitstransmissie. 8.34. Toepassingen. 8.3

Hoofdstuk 9 : Flipflops.

1. SR flipflop. 9.11.1 SR flipflop met NOR-poorten. 9.21.2 SR flipflop met NAND-poorten. 9.3

2. Enkele nuttige toepassingen met een SR flipflop.2.1 Anti-dender schakeling. 9.42.2 Startstop schakeling. 9.52.3 Links/rechts sturing met vergrendeling. 9.5

3. JK flipflop.3.1 Basisschema van een JK flipflop. 9.63.2 Flankgevoelige JK flipflop. 9.73.3 JK Master/Slave flipflop. 9.83.4 JK flipflop met asynchrone set en reset. 9.93.5 Toestands- en excitatietabel. 9.103.6 Enkele voorbeeldschakelingen. 9.11

DIGITALE TECHNIEKEN Inhoudstafel 3

Page 8: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

4. D flipflop.4.1 Basisstructuur. 9.134.2 Enkele voorbeeldschakelingen. 9.14

5. D latch. 9.156. Toepassingen. 9.16

Hoofdstuk 10 : Toestandsmachines.

1. Bolschema (Mealy machine). 10.12. Het toestandsdiagram. 10.2

2.1 Het toestandsblok. 10.22.2 Het beslissingsblok. 10.32.3 Het conditioneel uitgangsblok. 10.4

3. De toestandstabel en het schema. 10.63.1 Oplossing met D flipflops (74175). 10.73.2 Oplossing met JK flipflops (74112). 10.10

4. Interpretatie van de verboden toestanden. 10.125. Een toestandsmachine volgens More. 10.156. Algemene oplosmethode. 10.167. Enkele uitgewerkte voorbeelden. 10.16

7.1 3-bit Johnsonteller. 10.167.2 Looplicht. 10.19Spoorwegsignalisatie. 10.23

8. Toepassingen. 10.25

Hoofdstuk 11 : Tellers.

1. Geïntegreerde synchrone tellers. 11.11.1 De 74xx160. 11.11.2 De 74xx161. 11.31.3 De 74xx162 en 74xx163. 11.31.4 De 74xx190. 11.31.5 De 74xx191, 74xx192 en 74xx193. 11.6

2. Geïntegreerde asynchrone tellers. 11.62.1 De 74xx90. 11.62.2 De 74xx92. 11.82.3 De 4040. 11.92.4 De 4060. 11.9

3. Ontwerpen van synchrone tellers. 11.103.1 3-bit binaire opteller met reset. 11.103.2 AIKEN opteller met preset naar 1011. 11.13

4. Toepassingen. 11.16

Literatuurverwijzing.

DIGITALE TECHNIEKEN Inhoudstafel 4

Page 9: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

1. Binaire logica.

In de alledaagse taal worden voortdurend een aantal wetmatigheden gebruikt. George Boole bestudeerdedeze materie grondig in zijn boek "An Investigation of the Laws of Thought" en behaalde hiermee in 1854zijn doctoraatstitel.Boole's doel was om op een eenduidige en exacte manier de maatschappelijke vraagstukken te beschrij-ven. Hij maakte hierbij gebruik van een aantal begrippen zoals: waar, en, of, niet, als en anders. Dezewoorden vertaalde hij in een aantal symbolen. Verder ontwikkelde hij een aantal rekenkundige regels omzijn vergelijkingen te vereenvoudigen.

Volgens Boole zijn er maar twee mogelijke toestanden. Iets is "waar" of "niet waar". "Misschien" bestaatniet. Een nieuw talstelsel deed zijn intrede, namelijk het tweedelig of binair talstelsel.

De theorieën en rekenkundige regels van Boole werden later overgenomen in allerlei technische discipli-nes zoals de elektronica, de computerprogrammatie en de regeltechnieken.

Binnen de digitale elektronica wordt het verband tussen het gesproken woord, de Booleaanse vergelijkingen de hardware-realisatie als volgt voorgesteld.

Positieve logica. Negatieve logica.

waar = 1 = +5V (Ucc) waar = 1 = 0V (GND)niet waar = 0 = 0V (GND) niet waar = 0 = +5V (Ucc)

Deze cursus maakt enkel gebruik van de positieve logica.Een resultaat is meestal afhankelijk van een aantal van elkaar onafhankelijke parameters. We schrijven:

EN = AND = "."OF = OR = "+"NIET = NOT = "—"ALS = IF = "="

Een voorbeeld. We gaan buiten zwemmen (Z) als het mooi weer is (W), het zwembad open (B) is en erniet veel volk (V) aanwezig is.

Dus: "zwemmen" is waar (Z = 1) als"mooi weer" waar is (W = 1) en"zwembad open" waar is (B = 1) en"veel volk" niet waar is (V = 0)

Een schema geeft in de meeste gevallen een duidelijker beeld van het probleem.

1

&

V

W

BZ

Z W.B.V=

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 1

HOOFDSTUK 1Binaire logica en basisfuncties.

Page 10: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

2. De waarheidstabel en het tijdsdiagram.

Naast de vergelijking in woorden, de Booleaanse vergelijking en het schema zijn er nog enkele belangrij-ke mogelijkheden om een systeem te beschrijven. Vooreerst de waarheidstabel. Dit is een soort spreads-heet waarbij links alle mogelijke ingangscombinaties worden vermeld, en rechts de bijhorende uitgangs-combinatie.

Een tijdsdiagram geeft het verloop van de ingangstoestanden en de bijhorende uitgangstoestand in func-tie van de tijd weer. Een goed tijdsdiagram bevat alle mogelijke ingangscombinaties.

Verder blijkt uit het tijdsdiagram dat er een bepaalde tijdsvertraging optreedt tussen een ingangsverande-ring en de bijhorende uitgangsverandering. Elke component binnen de schakeling bestaat uit een aantalschakeltransistoren of FET's. Deze hebben immers een bepaalde tijd nodig om van de ene toestand naarde andere over te gaan. Hoe meer componenten het signaal moet doorlopen, hoe groter de tijdsvertra-ging. In de praktijk bedraagt de tijdsvertraging van een component enkele nanoseconden.

Merk op dat in bovenstaande voorbeelden de waarheidstabel en het tijdsdiagram dezelfde functie be-schrijven.

3. Elementaire basisfuncties en basispoorten.

De Booleaanse algebra kent slechts drie operatoren namelijk EN (AND), OF (OR) en INVERTEER (NIET ,NOT). Hiermee kan men ALLE digitale schakelingen eenduidend omschrijven. De componenten die deze logische bewerkingen voorstellen worden ook wel POORTEN of GATES ge-noemd en zijn als geïntegreerde schakeling verkrijgbaar. Het grafisch symbool dat aan een component wordt toegekend volgt de IEC tekenvoorschriften. IEC staatvoor International Electrotechnical Commission.

F

C

B

A

Tijdsvertraging

Ingangen UitgangC B A F0 0 0 00 0 1 10 1 0 10 1 1 11 0 0 01 0 1 11 1 0 01 1 1 1

F is waar als B en C niet waar zijn en A waar.

F is niet waar als C en B waar zijn en A niet waar.

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 2

Page 11: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3.1 Inversie.

De inverteerfunctie keert de logische waarde om. 0 wordt 1 en 1 wordt 0.Een NOT-poort heeft slechts één ingang en één uitgang.

Alle in de handel verkrijgbare elektronische componenten worden met een cijfer-letter combinatie aange-duid. Een IC binnen de commerciële TTL-reeks wordt steeds voorafgegaan door de cijfers 74 (40 is deprefix voor CMOS). De laatste cijfers geven het componentnummer weer. 04 staat voor een invertor. Tussen het reeksnummer en het componentnummer staan een aantal letters op de plaats van xx. Dezegeven meer informatie over de technologie waaruit de component is samengeteld. Enkele voorbeelden:geen letters (standaard), S (schottky), L (low power), LS (low power schottky), F (fast).

Zo weet de gebruiker dat een 74LS04, in vergelijking met een 7404, dezelfde logische functie bevat (bei-den 04) maar beduidend sneller schakelt en veel minder vermogen verbruikt.Meer informatie omtrent deze materie vindt u terug in het laboschrift.

Een 74LS04 bevat 6 onafhankelijke invertoren.

3.2 EN-operatie.

De EN-functie geeft aan dat het resultaat van een bewerking waar is indien alle ingangsvoorwaardenwaar zijn.

De AND-poort heeft één uitgang en meerdere ingangen. Voor een 3-input AND geldt:

&ABC

F

74xx11 F = 1 indien A = 1 en B = 1 en C = 1.

F A B C ABC . . = =

F

A

A F0 11 0

1A F

74xx04 F = 0 indien A = 1.F = 1 indien A = 0.

F A A NOT A A / '= = = =

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 3

1 1 1

1

1 1 1

2 3 4 5 6 7

14 13 12 11 10 9 8

Vcc

GND

Page 12: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3.3 OF-operatie.

De OF-functie geeft aan dat het resultaat van een bewerking waar is indien minstens één ingangsvoor-waarde waar is.

De OR-poort heeft één uitgang en meerdere ingangen. Voor een 3-input OR geldt:

F

C

B

A

C B A F0 0 0 00 0 1 10 1 0 10 1 1 11 0 0 11 0 1 11 1 0 11 1 1 1

≥1ABC

F

4075 F = 1 indien A = 1 of B = 1 of C = 1.

F A B C = + +

F

C

B

A

C B A F0 0 0 00 0 1 00 1 0 00 1 1 01 0 0 01 0 1 01 1 0 01 1 1 1

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 4

Page 13: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

4. Afgeleide basisfuncties en afgeleide poorten.

In de praktijk tracht men een schakeling te ontwerpen met een minimum aan bouwstenen. Daarom zijn erook een aantal combinatiepoorten op de markt gebracht. Deze noemt men afgeleide poorten en bevatteneen combinatieschakeling van een aantal basispoorten.

4.1 NAND-poort.

De NAND-poort is de samensmelting van een AND-poort, gevolgd door een invertor.

Voor een 3-input NAND geldt:

4.2 NOR-poort.

De NOR-poort is de samensmelting van een OR-poort, gevolgd door een invertor.

1≥1≥1 =

F

C

B

A

C B A AND F (NAND)0 0 0 0 10 0 1 0 10 1 0 0 10 1 1 0 11 0 0 0 11 0 1 0 11 1 0 0 11 1 1 1 0

&ABC

F

74xx10 F = 0 indien A = 1 en B = 1 en C = 1.

F A B C ABC

F A B C ABC

. .

. .

= =

= =

1&& =

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 5

Page 14: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Voor een 3-input NOR geldt:

4.3 EXOR-poort.

Het resultaat van de EXOR-functie is waar indien juist één ingangsvoorwaarde waar is.

De EXOR-poort is een samengestelde schakeling van de drie basispoorten. Deze poort is enkel verkrijg-baar met twee ingangen en één uitgang.

Voor een EXOR geldt:

=1A

BF

74xx86 F = 1 indien (A = 0 en B = 1) of (A = 1 en B = 0).

F AB AB A B = + = ⊕

1

1

&

&

≥1=1 =

F

C

B

A

C B A OR F (NOR)0 0 0 0 10 0 1 1 00 1 0 1 00 1 1 1 01 0 0 1 01 0 1 1 01 1 0 1 01 1 1 1 0

≥1ABC

F

74xx27 F = 0 indien A = 1 of B = 1 of C = 1.

F A B C

F A B C

= + +

= + +

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 6

Page 15: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

4.4 EXNOR-poort.

Het resultaat van de EXNOR-functie is waar indien alle ingangsvoorwaarden aan elkaar gelijk zijn .

De EXNOR-poort bezit eveneens twee ingangen en is ook een samengestelde schakeling.

Voor een EXNOR geldt:

F

B

A

B A EXOR F (EXNOR)0 0 0 10 1 1 01 0 1 01 1 0 1

=A

BF

74xx810 F = 1 indien (A = 0 en B = 0) of (A = 1 en B = 1).

F AB AB AB AB A B = + = + = ⊕

1

1

&

&

≥1 =1 1== =

F

B

A

B A F0 0 00 1 11 0 11 1 0

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 7

Page 16: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

5. Samenvatting logische poorten.

Onderstaande tabel geeft een overzicht van de verschillende poorten die u courant in elke elektronica-zaak terugvindt. Merk op dat het aanbod aan NAND- en NOR-poorten vrij ruim is in vergelijking met deandere poorten. De reden daartoe is terug te vinden in het feit dat u gelijk welke schakeling kan samen-stellen met enkel NOR of NAND-poorten. (Theorema van de Morgan, hoofdstuk 2.)

6. Het verband tussen de logische vergelijking, het schema, de waarheidstabel en het tijdsdiagram.

In deze paragraaf gaan we, aan de hand van voorbeelden, de logica na die het verband tussen de logi-sche vergelijking, het bijhorende schema, de waarheidstabel en het tijdsdiagram aantoont. Indien één vandeze vier voorstellingen gekend is, kan men relatief eenvoudig de overige drie voorstellingen uitwerken.

6.1 Het schema is gekend.

&1

≥1

&

B

C

AF

NOT AND OR NAND NOR EXOR EXNOR6

1 input 74xx044049

4 4 4 4 4 42 inputs 74xx08 74xx32 74xx00 74xx02 74xx86 74xx810

4081 4071 4011 4001 4070 40773 3 3 3

3 inputs 74xx11 74xx10 74xx274073 4075 4023 4025

2 2 2 24 inputs 74xx21 74xx20 74xx25

4082 4072 4012 40022

5 inputs 74xx260

1 18 inputs 74xx30

4068 40781

12 inputs 74xx134

113 inputs 74xx133

Aantal poorten per ICIC nummer (TTL)

IC nummer (CMOS)

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 8

Page 17: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

De waarheidstabel.

Evalueer het schema van links naar rechts. Ken aan elke poort uitgang een letter toe en zet deze even-eens in de waarheidstabel.

Vervolledig stelselmatig de waarheidstabel, zodat u uiteindelijk op de uitgang terecht komt.

De vergelijking.

Evalueer het schema vanaf de uitgang naar de ingangen toe.

De prioriteiten binnen een logische vergelijking zijn van hoogste naar laagste:

Inversie, haakjes, EXOR & EXNOR, AND en tenslotte OR.

Het tijdsdiagram.

Schets een tijdsdiagram waarop, indien mogelijk, alle mogelijke ingangscombinaties voorkomen. Vooreen drie-bit schakeling, alle combinaties tussen 000 en 111. Teken eventueel de tussenliggende logischeniveaus op de verschillende poortuitgangen en construeer hieruit het verloop van F.

Het resultaat van het tijdsdiagram moet uiteraard identiek zijn aan de gevonden toestanden binnen dewaarheidstabel.

F A L M

F A K B B C

F A A B B C

F A A B B C

. .

.( . ).( )

.( . ).( )

. . .( )

=

= +

= +

= +

C B A K L M F0 0 0 1 1 0 00 0 1 0 1 0 00 1 0 1 0 1 00 1 1 0 1 1 11 0 0 1 1 1 01 0 1 0 1 1 11 1 0 1 0 1 01 1 1 0 1 1 1

K A M B C

L K B F A L M

. . .

= = +

= =

&1

≥1

&

B

C

AF

KL

M

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 9

Page 18: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

6.2 De waarheidstabel is gekend.

De functie.

Elke rij waarbinnen de functie 1 is, kan u voorstellen als een productterm (een AND-functie).

(1) F = 1 indien A = 1 en B = 0 en C = 0.

De verschillende producttermen worden vervolgens gekoppeld via een som (een OR-functie).

F = 1 indien A = 0 en B = 0 en C = 0 ofA = 1 en B = 0 en C = 0 ofA = 0 en B = 1 en C = 0 ofA = 1 en B = 0 en C = 1 ofA = 1 en B = 1 en C = 1

De vorm waarin de logische vergelijking verschijnt noemen we een som van producttermen of stan-daard som vorm.In een tweede methode om deze functie te bepalen, vertrekt men van de inverse functie van F (=/G).

G A B C A B C A B C

F G

F A B C A B C A B C

= + +

=

= + +

F A B C A B C A B C A B C A B C = + + + +

F A B C =

C B A F G0 0 0 1 00 0 1 1 (1) 00 1 0 1 00 1 1 0 11 0 0 0 11 0 1 1 01 1 0 0 11 1 1 1 0

F

M

L

K

C

B

A

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 10

Page 19: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Met welke methode u de vergelijking bepaalt, hangt enkel af van het aantal enen dat de waarheidstabelbevat. Gebruik de eerste methode indien er weinig enen in de F-tabel verschijnen. Gebruik de tweedemethode (de inverse functie, de G-tabel) indien er veel enen in de F-tabel staan.

Merk tevens op dat eenzelfde functie onder meerdere vormen kan geschreven worden.

Het schema.

Het schema wordt opgesteld aan de hand van één van beide vergelijkingen. Normalerwijs kiest u de ver-gelijking die de minste poorten bevat.

Het schema bevat 3 invertoren, 3 AND-poorten en 1 NOR-poort.

Het tijdsdiagram.

6.3 De logische functie is gekend.

Het schema.

Let op de prioriteit van de bewerkingen.

F AB A C AB ( )= + ⊕

F

C

B

A

1

1

1

&

&

&

≥1B

A

C

F

F A B C A B C A B C = + +

F A B C A B C A B C A B C A B C

F A B C A B C A B C

= + + + +

= + +

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 11

Page 20: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

De waarheidstabel.

Splits de vergelijking in deelfuncties en vervolledig de waarheidstabel.

Merk op dat:

F AB A C AB

F A B C A B C A B C A B C A B C

F A B C A B C A B C

( )

= + ⊕

= + + + +

= + +

C B A /B /A K /K L /L M F0 0 0 1 1 1 0 0 1 0 10 0 1 1 0 0 1 1 0 0 00 1 0 0 1 1 0 0 1 0 10 1 1 0 0 0 1 0 1 1 01 0 0 1 1 1 0 0 1 0 11 0 1 1 0 1 0 0 1 0 11 1 0 0 1 1 0 0 1 0 11 1 1 0 0 1 0 0 1 1 0

F AB A C AB

K A C

L AB K

M AB

F L M

( )

= + ⊕

= +

==

= ⊕

1

1≥1

&

=1

&

C

BF

A

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 12

Page 21: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Het tijdsdiagram.

Controleer voor elke ingangscombinatie het bijhorend uitgangsniveau. Het resultaat moet natuurlijk over-eenstemmen met de gevonden toestanden binnen de waarheidstabel.

6.4 Het tijdsdiagram is gekend.

De waarheidstabel.

Bepaal binnen het tijdsdiagram de verschillende ingangscombinaties en lees de bijhorende uitgangscom-binatie af. U kan de tabel enkel invullen indien het tijdsdiagram alle mogelijke ingangscombinaties be-schrijft.

De functie.

De functie bepalen vanaf het toestandsdiagram is onbegonnen werk. Bepaal F uit de waarheidstabel.

F A B C A B C A B C

F A B C A B C A B C A B C A B C

= + +

= + + + +

C B A F0 0 0 00 0 1 0 (3)0 1 0 0 (2)0 1 1 0 (1)1 0 0 01 0 1 11 1 0 11 1 1 1

F

C

B

A

(1) (2) (1)(3)

F

C

B

A

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 13

Page 22: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Het schema.

7. In- en uitgangspolariteit van logische componenten.

7.1 Uitgangspolariteit.

7.2 Ingangspolariteit.

Beschouw als voorbeeld de logica van een AND en NAND-poort.

F = 1 indien A = 1 en B = 1.G = 0 indien A = 1 en B = 1.

Elke schakeling kan u in principe tot één symbool herleiden.

Aan onderstaande voorbeeldsymbolen worden eveneens het bijhorend schema en de logische vergelij-king toegevoegd.

&

&

FA

B

G

De bijhorende voorwaarde binnen het symbool is waar zodra de ingang = 1. (hoog actieve ingang )

De bijhorende voorwaarde binnen het symbool is waar zodra de ingang = 0. (laag actieve ingang )

De uitgang = 1 indien de bijhorende voorwaarde binnen het symbool waar is. (hoog actieve uitgang )

De uitgang = 0 indien de bijhorende voorwaarde binnen het symbool waar is. (laag actieve uitgang )

1

1

&

&

&

≥1B

C

AA

F

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 14

Page 23: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

In het tweede voorbeeld duidt het cirkeltje binnen het symbool op een logische inversie. Een 1 links vande cirkel geeft rechts een 0.

F AB C D

G DE

= + ⊕

=

&

≥1

=1

&1

A

B

C

F

EG

D

A

B

C

D

F

&

≥≥≥≥1

&EG

=1

=

F AB C CD = + +

&

&

≥11C

D

A

B

F

A

B

C

D

F

&

≥≥≥≥1

&

=

F AB C D

G F AB C D

= + +

= = + +

&≥1

≥11

A

B

D

F

GC

A

B

C

D

F

G

&

≥≥≥≥1=

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 15

Page 24: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

8. Toepassingen.

1. Koffieautomaat.

Een koffieautomaat laat verschillende mogelijkheden toe:ZK zwarte koffie.KS koffie met suiker.KM koffie met melk.KSM koffie met suiker en melk.

De ventielen kunnen enkel bediend worden indien er elektriciteit (E) engeld (G) aanwezig zijn. Dit is de basisvoorwaarde (BV). Verder moeten er voldoende grondstoffen voorradig zijn namelijk: bekers(B), water (W) koffiepoeder (KP), suikerpoeder (SP) en melkpoeder (MP),zoniet gaat het bijhorende "Buiten gebruik" lampje branden en wordt deze keuzeoptie uitgeschakeld.Zodra de klant een correcte keuze heeft gemaakt plaats de automaat eerst een beker (BP) en controleertof deze op de juiste plaats terecht komt (BG). Vervolgens worden, afhankelijk van de keuze, de verschil-lende grondstoffen toegevoegd.Om problemen te voorkomen mag de gebruiker slechts één keuzetoets bedienen, zoniet gebeurt er niets.

BV E G basisvoorwaarde

LK W B KP buiten gebruik zwarte koffieLS W B KP SP buiten gebruik koffie met suiLM W B KP MP buiten gebruik koffie met melkLSM W B KP SP MP buiten gebruik koffie met melk en sui

KZK ZK KS KM KSM enkel zwarte koffie ingedruktKKS ZK KS KM KSM enkel koffie met sui ingedruktKKM ZK KS KM KSM enkel

=

= + += + + += + + +

= + + + +

===

.

ker

ker

. . . " ". . . " ker". . . "" "

. . . " ker "

. . . . . ker

... ker.

koffie met melk ingedruktKKSM ZK KS KM KSM enkel koffie met sui en melk ingedrukt

BP BV KZK LK KKS LS KKM LM KKSM LSM be plaatsen

HW BG KZK KKS KKM KKSM heet water toevoegenK BG KZK KKS KKM KKSM koffiepoeder toevoegenS BG KKS KKSM sui poeder toevoegenM BG KKM

=

= ( ) + ( ) + ( ) + ( )( )= + + +( )

= + + +( )= +( )= + KKSMKKSM melkpoeder toevoegen( )

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 16

Buiten gebruik

ZKKSKMKMS

LKLS

LMLMS

30 fr.

Page 25: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

BG

E

G

1

1

1

1

&

&

&

&

>1

>1

>1

&

&

&

&

1

1

1

1

1

&

&

&

&

>1

&

1

1

1

1

>1

>1

>1

>1

KZK

KKM

ZK

KS

KM

KSM

KKS

KKSM

BV

B

W

KP

SP

MP

LS

LM

LK

LSM

LS

LM

LK

LSM

HW=K

S

M

BP

BP

Druktoetsen

Sensoren

Grondstoffen

Controle lampjes

Ventielen

Hoog actieve in- en uitgangen.

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 17

Page 26: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

2. Vervolledig de waarheidstabel en het tijdsdiagram van onderstaande schema's.Bepaal de logische functie en vat de volledige schakeling samen in één symbool.

F1

D

C

B

A

D C B A E F G H F10 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1

&

>1

>1

1

>1

A

B

C

D

EF

G

H

F1

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 18

Page 27: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3. Vervolledig de waarheidstabel en het tijdsdiagram.Teken het schema en het bijhorend symbool.

C B A0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1

F A B C A B C3= +. . . .

F2

C

B

A

C B A D E F F20 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1

1&

>1

= 1

A

B

C

D

E

FF2

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 19

Page 28: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

F4

D

C

B

A

D C B A0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1

F A B C A D4 = +( ) +. .

F3

C

B

A

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 20

Page 29: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

4. Bepaal op twee manieren de functie, teken het schema en vervolledig het tijdsdiagram.

F6

C

B

A

C B A F60 0 0 10 0 1 10 1 0 00 1 1 01 0 0 11 0 1 01 1 0 01 1 1 1

F5

C

B

A

C B A F50 0 0 00 0 1 00 1 0 10 1 1 01 0 0 11 0 1 11 1 0 01 1 1 0

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 21

Page 30: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

5. Vervolledig de waarheidstabel. Bepaal de bijhorende functie en teken het schema.

A B C F80 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1

F8

C

B

A

A B C F70 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1

F7

C

B

A

DIGITALE TECHNIEKEN HOOFDSTUK 1 1. 22

Page 31: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Dit hoofdstuk behandelt de verschillende vereenvoudigingstechnieken die worden toegepast om het aan-tal poorten binnen een logische functie te reduceren.

Tot hier toe kunnen we alle logische functies realiseren volgens de AND-OR-NOT structuur (= som vanproducttermen). Zodra we de gevonden vergelijkingen kunnen vereenvoudigen, betekent dit op de eersteplaats dat het aantal poorten vermindert. De ontwerper zal hierdoor dezelfde schakeling realiseren metminder componenten ( IC's ). Hierdoor wordt het gebruikte printoppervlak ook kleiner. Al deze factorenmaken dat de totale kostprijs van een project drastisch kan dalen.

De eenvoudigste vergelijking is daarom niet de meest economische. Indien de functie, na vereenvoudi-ging, bijvoorbeeld twee invertoren + één 3-input AND-poort + één 2-input NAND-poort bevat, betekent dithardwarematig drie IC's. Elk IC bevat hierbij een aantal niet-gebruikte poorten. Een 74xx04 bevat 6 inver-toren en is dus maar voor 1/3 benut . Daarom trachten we de gevonden vergelijkingen om te vormen toteen minimum aan componenten. Zo blijkt bijvoorbeeld dat een invertor eveneens kan gerealiseerd wor-den met een NAND-poort. De 74xx04 verdwijnt hierdoor uit het schema.

We behandelen achtereenvolgens twee minimalisatietechnieken.

- minimalisatie volgens de Booleaanse algebra .- minimaliseren met behulp van een Karnaughkaart .

Computergestuurd minimaliseren kan ook. Er bestaan immers verschillende minimalisatieprogramma's.Deze maken meestal gebruik van de Quine - McCluskey methode, opgebouwd rond vereenvoudigingsta-bellen. Met deze methode kan de computer vrij snel een vereenvoudiging uitwerken voor functies mettientallen veranderlijken. Hiervoor is de Karnaughkaart zeker niet geschikt.

1. Minimalisatie volgens de Booleaanse Algebra.

Omstreeks 1854 stelde George Boole in zijn doctoraatsstudie een aantal rekenkundige regels op die lei-den tot het minimaliseren van complexe binaire systemen. Stelselmatig worden de verschillende rekenre-gels opgesteld en gecontroleerd. Tevens worden er een aantal nuttige tips aan toegevoegd die in het ver-dere verloop van deze cursus van belang kunnen zijn.

1.1 Theorema's met één veranderlijke.

Bij het bewijs van de juistheid van bovenstaande vergelijkingen gaan we uit van de toestand die één ver-anderlijke kan aannemen. A is 0 of 1. Per vergelijking stellen we alle mogelijke combinaties samen en trekken uit het resultaat ons besluit.

Zodra alle ingangen van een OR of AND-poort met elkaar worden verbonden, volgt de uitgang het aange-

A A A A A A+ =+ =+ =

===

.

.

.

0 0 0

1 1 1

0 0 0

1 1 1

A A A A A A A A

A A A

A A A

A A A A

+ = = =+ = =+ = =

+ = =

.

.

.

.

1 1 1

0 0 0

1 0

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 1

HOOFDSTUK 2Vereenvoudigen van logische functies.

Page 32: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

legde ingangsniveau. Merk op dat dit ook zo is voor poorten met meer dan twee ingangen.A . A . … . A = A en A + A + … + A = A.

Zodra één ingang van de OR-poort constant op 1 staat, blijft de uitgang constant hoog.

Zodra één ingang van de AND-poort constant op 0 staat, blijft de uitgang constant laag.

Zodra één of meerdere ingangen van de OR-poort constant 0 zijn, volgt de uitgang het ingangssignaal.Zodra één of meerdere ingangen van de AND-poort constant 1 zijn, volgt de uitgang het ingangssignaal.

Na een dubbele inversie behoudt de uitgang het niveau van de ingang.

1.2 Commutatieve en associatieve theorema's.

Binnen een logische bewerking, met dezelfde bewerkingsprioriteit, mogen de verschillende parametersvan plaats veranderen en er kunnen haakjes aan worden toegevoegd of weggelaten.

1.3 Distributieve theorema's.

Binnen een logische bewerking hebben de invertoren de hoogste prioriteit, gevolgd door de haakjes, deAND en de OR. Het uitwerken van de haakjes volgt dezelfde rekenregels als deze binnen de numerischewiskunde.

Bewijs van het tweede distributieve theorema:

1.4 Absorptietheorema's.

Bewijs:

A A B A A A B A

A A B A B A A B A B

.( ) .

.( ) . .

+ = + =

+ = + = +

( ).( ) . . . .

( ) .

.

A B A C A A A B A C B C

A B C B C

A B C

+ + = + + += + + += +

1

A B A C A B C A B A C A B C. . .( ) ( ).( ) .+ = + + + = +

A B B A A B C A B C A B C B A C

A B B A A B C A B C A B C B A C

+ = + + + = + + = + + = + += = = = ( ) ( ) ( )

. . . . ( . ). .( . ) .( . )

A A A A= == ⇒ = =

= ⇒ = =( )

0 1 0 1 0

1 0 1 0 1

A A A A+ =+ =+ =

===

00 0 0

1 0 11

0 1 0

1 1 1

.

.

.

A A A. .

..

.

.0 0

0 0 0

1 0 00

0 1 0

1 0 0=

==

===

A A A+ =+ =+ =

+ =+ =+ =

1 10 1 1

1 1 11

0 1 1

1 0 1

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 2

Page 33: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

1.5 Theorema's van de Morgan.

De theorema's van de Morgan geven een flexibele overgang van AND (NAND) naar NOR (OR) en omge-keerd. Deze rekenregels worden frequent toegepast bij het vereenvoudigen.

De theorema's worden bewezen aan de hand van een waarheidstabel.

A B A B

A B

A B

+ = +

==

( )

( . )

.

B A /B /A /A+/B A.B /(A.B)0 0 1 1 1 0 10 1 1 0 1 0 11 0 0 1 1 0 11 1 0 0 0 1 0

A B A B+ = .

A B A B

A B

A B

. ( . )

( )

=

= += +

B A /B /A /A./B A+B /(A+B)0 0 1 1 1 0 10 1 1 0 0 1 01 0 0 1 0 1 01 1 0 0 0 1 0

A B A B. = +

A B A B A B A B

A B A B A B A B

. .

. .

= + = +

+ = + =

A A B A A A B A A B A A A B

A A B A B

A B A B

A

A A B A B A B

A A B A B

A B A A

A B

.( ) . . .( ) . .

. .

.( ) .

. .( ) .

. .

.( )

+ = + + = += + = += + ==

+ = + +

= + +

= + += +

0

1

1

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 3

Page 34: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

1.6 Consensustheorema's.

Deze deelvergelijkingen zijn het moeilijks op te sporen binnen de logische functie.

De minimalisatie gebeurt in twee stappen. Eerst wordt de functie geëxpandeerd en vervolgens geredu-ceerd.

1.7 Samenvatting van de belangrijkste vereenvoudigingsregels.

A A A A A A A A

A A A

A A A A B A B

A A A A A B A B

A A B A A A B A

A A B A B A A B A B

A B B C A C A B A C

A B B

+ = = =+ = =

+ = = = +

+ = = + =

+ = + =

+ = + = +

+ + = +

+ +

.

.

. .

. .

.( ) .

.( ) . .

. . . . .

( ).(

1 1 1

0 0 0

1 0

CC A C A B A C).( ) ( ).( )+ = + +

( ).( ).( ) ( . . . ).( )

( . . . ).( )

( ( ) . ).( )

( . ).( )

. . . . . .

. . .

A B B C A C A B BB A C B C A C

A B B A C B C A C

B A C A C A C

B A C A C

A B A A C B C A C C

A B B C A C

+ + + = + + + +

= + + + +

= + + + +

= + +

= + + +

= + +

1

== + + +

= + +

A A A B C A C B

A B A C

. . . .

( ).( )

A B B C A C A B A A B C A C

A B A B C A B C A C

A B C A C B

A B A C

. . . . ( ). . .

. . . . . .

. .( ) . .( )

. .

+ + = + + +

= + + +

= + + +

= +

1 1

A B B C A C A B A C

A B B C A C A B A C

. . . . .

( ).( ).( ) ( ).( )

+ + = +

+ + + = + +

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 4

Page 35: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

1.8 Conclusies met betrekking tot de Booleaanse vereenvoudigingsregels.

* De prioriteiten binnen een logische functie zijn achtereenvolgens (van hoogste naar laagste):invertor, haakjes, EXOR & EXNOR, AND en OR.Het AND-symbool "." wordt meestal weggelaten. Dit vereenvoudigt de schrijfwijze.

* Interpreteer de Booleaanse vereenvoudigingsregels vrij ruim. Zo kan elke veranderlijke in principe eenvolledige deelfunctie bevatten. Enkele voorbeelden.

* Een AND, NAND, OR en NOR-functie opbouwen met de respectievelijke poorten, waarvan niet alle in-gangen gebruikt worden, kan. Om praktische redenen mogen de niet-gebruikte ingangen NOOIT los-hangen. We gaan de correcte aansluiting na voor een 2-input functie, gebruikmakend van een 3-inputpoort.

F=A.B voor een 3-input AND geldt. F=A.B.1 of F=A.B.A of F=A.B.B

F=A+B voor een 3-input OR geldt. F=A+B+0 of F=A+B+A of F=A+B+B

F=/(A.B) voor een 3-input NAND geldt. F=/(A.B.1) of F=/(A.B.A) of F=/(A.B.B) F=/(A+B) voor een 3-input NOR geldt. F=/(A+B+0) of F=/(A+B+A) of F=/(A+B+B)

Niet-gebruikte ingangen op een AND- en NAND-poort verbinden met Ucc of met een gebruikte ingang.Niet-gebruikte ingangen op een OR- en NOR-poort verbinden met GND of met een gebruikte ingang.

* Binnen de TTL-reeks zijn er enkel 2-input OR-poorten verkrijgbaar (74xx32). Bevat de vergelijking meerdan twee OR-termen, dan kan men de vergelijking opsplitsen over meerdere OR-poorten. Voor een 4-input OR geldt:

F = A+B+C+D = ((A+B)+C)+D = (A+B)+(C+D)

≥1 ≥1 ≥1

A

BF F F

F=A+B+0=A+B F=A+A+B=A+B F=A+B+B=A+B

& & &

+5V

A

B

F=A.B.1=A.B F=A.A.B=A.B F=A.B.B=A.B

F F F

B B A A

ABC BAC ABC A A A

A A A A A A A A A AB A B

. ( . )

( )

( )

1 1

0 1 0 1 2 0 1 2

= =

+ = + =

+ = + + = +

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 5

Page 36: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Beide schema's bevatten eenzelfde aantal poorten en zijn daardoor gelijkwaardig. Praktisch geeft mende voorkeur aan de oplossing volgens F2. Elke poort heeft een bepaalde vertragingstijd van enkele na-noseconden. Deze stellen we voor door één tijdseenheid per poort. In het schema volgens F1 is demaximale vertragingstijd 3 tijdseenheden. Voor F2 geldt een maximale vertraging van 2 tijdseenheden.F2 reageert, in worst-case, 33% sneller dan F1.

* Binnen een som van producttermen mag men elke productterm meerdere malen gebruiken tijdens devereenvoudiging. Bijvoorbeeld:

1.9 Enkele opgeloste voorbeelden.

Herwerk de vergelijking steeds tot een som van producttermen. Tracht ondertussen zoveel mogelijk ver-anderlijken te elimineren.Vervang eventuele EXOR- EXNOR-functies door hun logische vergelijking vooraleer tot vereenvoudigingover te gaan.

* ?

( )

( )( )

AB AC BCAB

AB AC BC A B

A B A C ABC BC

A AB AC BC ABC BC

A BC

+ + =

= + +

= + + + +

= + + + + +

= +

AB ABC BC AB BC

AB ABC BC AB ABC ABC BC

AB C A BC

AB BC

+ + = +

+ + = + + +

= + + +

= +

( ) ( )1 1

F2

F1

D

C

B

A

≥1

≥1

≥1

≥1

≥1

≥1F1

AA

BB

CC

DD

F2

F1=((A+B)+C)+D F2=(A+B)+(C+D)

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 6

Page 37: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Afhankelijk van de gekozen weg levert een verdere vereenvoudiging twee oplossingen.

Beide functies zijn juist en bevatten evenveel poorten.

2. Minimalisatie met behulp van een Karnaughkaart.

Minimalisatie met de Booleaanse vergelijkingen is meestal een moeizame taak. Het is immers niet me-teen duidelijk of het resultaat wel de eenvoudigste vorm is.Een Karnaughkaart daarentegen geeft ons een grafische voorstelling van een functie. De vereenvoudi-ging gebeurt in principe visueel, maar volgt wel de minimalisatieregels van Boole.

Veitch ontwikkelde in 1952 de basis van het grafisch vereenvoudigen. Later werd deze methode door Kar-naugh verfijnd en publiceerde deze in "A map method for synthesis of combinational logic circuits".

Een Karnaughkaart is opgebouwd uit een aantal cellen. Elke cel stelt één regel uit de waarheidstabelvoor. De volgorde waarin de cellen op de kaart worden geplaatst is wel van belang.Het aantal cellen wordt bepaald door het aantal veranderlijke binnen de functie. Voor n-veranderlijken be-vat de Karnaughkaart 2n cellen.Kaarten tot 4 veranderlijken zijn vrij simpel te vereenvoudigen. Vanaf 5 variabelen wordt het wel iets inge-wikkelder. Vanaf 7 variabelen wordt het een onbegonnen werk en komt de Karnaugkaart niet meer in aan-merking.

= + + = + +

= +( ) + = +( ) +

= + + = + +

ABCD AC ABC ABCD AC ABC

A BCD C ABC BC AD A AC

ABD AC ABC BCD AC ABC

* ?A BCD C DC C D A C B A C

A BCD C D C D AC B AC AC

A BCD AC ABC ABC

ABCD AC ABC ABC

ABCD AC ABC

+( ) +( ) + +( ) + + + ⊕ =

= +( ) +( ) + +( ) + + +( )= + + + +

= + + +

= + +

* ?

AC ABD BD ABC AB C D

AC B AD D ABC AB C D

AC AB BD ABC A B CD

ABC ABCD ABC ACD BCD

ABC ACD BCD

C BA AD BD

C BA BD

ABC BCD

+( ) + + +( ) =

= +( )( ) + + +( )= +( ) + + +( )= + + + +

= + +

= + +( )= +( )= +

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 7

Page 38: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

2.1 Karnaughkaart tot en met 4 veranderlijken.

2 veranderlijken. F(A,B).De kaart wordt opgesplitst in 4 cellen. Elke cel stelt één van de vier ingangscombinaties voor. Horizontaallezen we de waarde van A, vertikaal de waarde van B. A en B mag u eventueel van plaats verwisselen.Bovenaan rechts staat de functienaam van de tabel.In bovenstaande tabel betekent cel(1) dat A = 0 en B = 0. Cel (2) staat voor A = 0 en B = 1.

3 veranderlijken. F(A,B,C).3 veranderlijken geeft een kaart met 8 cellen. Let vooral op de verticale celnummering (00, 01, 11, 10i.p.v. 00, 01, 10, 11). Naast elkaar liggende cellen mogen, voor de vereenvoudiging, maar één bit van el-kaar verschillen. Vandaar deze volgorde.U kan de kaart eventueel 90° roteren. Noteer horizontaal twee variabelen naar keuze, maar behoud despecifieke celnummering.Zowel in boven- als onderstaande kaarten geeft cel (3) de toestand C = B = 1 en A = 0 aan.

4 veranderlijken. F(A,B,C,D).De kaart bevat 16 cellen. Zowel horizontaal als vertikaal volgt de celnummering de opeenvolgende codes00, 01, 11, 10. A = C = 1 en B = D = 0 geeft cel (4).

2.2 Invullen van de Karnaughkaart.

Het invullen van de Karnaughkaart gebeurt langs de waarheidstabel of vanaf de logische functie.

De waarheidstabel bevat alle combinaties waarbij de functie 1 is. Hierdoor verloopt het overbrengen vandeze informatie snel en correct.Indien de waarheidstabel nog niet aanwezig is, kan de kaart rechtstreeks worden ingevuld vanaf de func-tie. Herwerk de functie, met de Booleaanse algebra, tot een som van producttermen en ga dan over naarde Karnaughkaart.

Een Karnaughkaart bevat enkel de enen van de functie of tabel. De nullen worden meestal weggelaten.Dit vergemakkelijkt het "lezen" van de kaart. Het zijn immers enkel de enen die betrekking hebben tot devereenvoudigingsregels.

F B,A F B,C00 01 11 10 00 01 11 10

C 0 A 0 (3)

1 (3) 1

F B,A00 01 11 10

D,C 00

01 (4)

11

10

F A0 1

B 0 (1)

1 (2)

F A0 1

C,B 00

01

11 (3)

10

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 8

Page 39: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Van waarheidstabel naar Karnaughkaart.

Het celnummer geeft de positie aan binnen de kaart. Op celnummer (2) is F = 1. Op (4) is F = 0, dus dezecel blijft leeg op de kaart.

Van logische functie naar Karnaughkaart.

De verschillende producttermen bevatten niet alle veranderlijken. Volgens de Booleaanse vergelijkingA + /A = 1 kan elke term worden aangevuld met de resterende veranderlijken. (1) geeft na substitutie tweetermen (1a) en (1b). (2) en (3) vormen hierdoor beide vier termen (2a) … (2d) en (3a) … (3d).

Elke productterm geeft aan waar de functie 1 wordt.

Een geoefend gebruiker kan rechtstreeks vanaf de gereduceerde vergelijking de Karnaughkaart vervolle-digen. Het expanderen van F wordt hierdoor overbodig.

F B,A F B,A F B,A00 01 11 10 00 01 11 10 00 01 11 10

D,C 00 (1b) D,C 00 (1) D,C 00 1

01 (3d) (3b) 01 (3) (3) 01 1 1

11(2c)(3c) (2a) (3a) 11

(2)(3) (2) (3) 11 1 1 1

10 (2d) (2b) (1a) 10 (2) (2) (1) 10 1 1 1

F ABCD ABCD a b

ABCD ABCD ABCD ABCD a b c d

ABCD ABCD ABCD ABCD a b c d

= + + + +

+ + + + + + + +

+ + + + + +

( ) ( )

( ) ( ) ( ) ( )

( ) ( ) ( ) ( )

1 1

2 2 2 2

3 3 3 3

F ABC BD AC= + + + +( ) ( ) ( )1 2 3

C B A F celnr.0 0 0 0 (0)0 0 1 0 (1)0 1 0 1 (2)0 1 1 1 (3)1 0 0 0 (4)1 0 1 1 (5)1 1 0 1 (6)1 1 1 0 (7)

F A F A0 1 0 1

C,B 00 (0) (1) C,B 00

01 (2) (3) 01 1 1

11 (6) (7) 11 1

10 (4) (5) 10 1

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 9

Page 40: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

2.3 Vereenvoudigen van een Karnaughkaart.

Tracht op de Karnaughkaart een aantal, naast of onder elkaar, gegroepeerde enen te selecteren. Combi-neer enkel horizontaal of vertikaal, nooit schuin . De omsloten enen vormen dus een rechthoek of vier-kant.Het aantal enen binnen een selectie is een macht van 2 (= 2, 4, 8, …) .De buitenste horizontale cellen mogen als aangrenzend worden beschouwd, alsook de buitenste verticalecellen. Deze zijn dus combineerbaar. (Vergelijk met een torus)De vier hoekpunten van de kaart zijn eveneens combineerbaar met elkaar.Elke cel mag meermaals binnen verschillende selecties voorkomen (A = A + A).

Onderstaande voorbeelden illustreren de vereenvoudigingsregels.

De vereenvoudiging binnen een selectie steunt op de rekenregel A + /A = 1.De selectie binnen F geeft een som van twee producttermen. Na vereenvoudiging volgens de Booleaansealgebra verdwijnt de veranderlijke D.

Grafisch vereenvoudigen we als volgt. Ga na hoe de veranderlijken zich gedragen binnen de selectie. A en C zijn beiden 0, B is 1 en D is veranderlijk.Noteer de constanten als een productterm en laat de veranderlijke variabele weg. Dus:

Karnaughkaart G bevat één selectie van 2 en één van 4 cellen. Beide selecties geven een productterm enworden langs een OR-term met elkaar verbonden.

Na een grafische vereenvoudiging bekomen we hetzelfde resultaat.

Bij selectie van twee cellen verdwijnt er één variabele uit de productterm. Bij een groep van vier cellen zijner twee variabelen verdwenen enz…

G A B ABD= +

G ABCD ABCD ABCD ABCD ABCD ABCD

ACD ACD ABD

AD ABD

= + + + + +

= + +

= +

F ABC=

F ABCD ABCD

ABC D D

ABC

= +

= +

=

( )

F C,D G C,D00 01 11 10 00 01 11 10

A,B 00 A,B 00 1 1

01 1 1 01 1 1

11 11

10 10 1 1

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 10

Page 41: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Neem de vereenvoudigingslussen zo groot mogelijk. Dan pas bekomt u de eenvoudigste functie. Cellen die niet te groeperen zijn, worden als afzonderlijke producttermen geschreven en bevatten dus alleveranderlijken.Tracht de functie steeds met een minimum aan lussen te vereenvoudigen.

Onderstaand voorbeeld illustreert het resultaat bij een foutieve selectie van de groepen.

Rechts is de selectie correct verlopen. Dit geeft als resultaat:

De linkse oplossing daarentegen bevat een groep van twee cellen i.p.v. vier, met als resultaat dat dezeproductterm veranderlijke A bevat.

Een goed vereenvoudigde vergelijking langs de Karnaughkaart kan nooit verder vereenvoudigd wordenmet de Booleaanse algebra. Het resultaat van de linkse kaart kan u wel verder vereenvoudigen.

Een bijkomend voordeel van de Karnaughkaart is ook dat u dadelijk ziet dat er al dan niet meerdere een-voudigste oplossingen bestaan.

I AC ACD ofwel

I AC ACD

= + +

= + +

BCD

ABD

I C,D I C,D00 01 11 10 00 01 11 10

A,B 00 1 1 A,B 00 1 1

01 1 1 01 1 1

11 1 1 11 1 1

10 1 10 1

H ABCD AC

ABCD C A

ABCD C A

ABCD AC

= + +

= + +

= + +

= + +

ACD

AD

D

CD

( )

( )

H ABCD AC= + + ACD

H ABCD AC= + + CD

H C,D H C,D00 01 11 10 00 01 11 10

A,B 00 1 1 A,B 00 1 1

01 1 1 01 1 1

11 1 1 11 1 1

10 1 10 1

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 11

Page 42: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

2.4 Onvolledige functies.

Normaal beschrijft een functie alle mogelijke combinaties. Soms kan het voorkomen dat een aantal com-binaties niet kunnen verwezenlijkt worden.

Neem bijvoorbeeld een 4-bit schakeling die wordt aangestuurd langs een BCD-teller. Een BCD-tellerbrengt achtereenvolgens een binair getal van 0000 t.e.m. 1001 op de ingangen van de schakeling. De co-des 1010 … 1111 komen niet voor. In de desbetreffende cellen van de Karnaughkaart wordt een "X" ge-plaatst. X staat voor "don't care". Het maakt dus niets uit of de functie op die bepaalde plaatsen 0 of 1 is.De combinatie komt immers toch nooit voor.

Een andere mogelijkheid is dat een bepaalde ingangscombinatie, om welke reden dan ook, nooit magvoorkomen. Deze verboden toestand duiden we aan met een "-". Ook hier maakt het niet uit of de functieop die bepaalde plaats 0 of 1 is.

x staat voor don't care.- staat voor een verboden toestand.

Een verboden toestand en een don't care neemt aan een vereenvoudigingslus deel indien we de lus hier-mee kunnen vergroten.Verboden toestanden en don't cares binnen een selectie worden vanaf dan als 1beschouwd. Verboden toestanden en don't cares die buiten de selectie liggen zijn hierdoor 0.

Een voorbeeld. Vier schakelaars (A, B, C en D) bedienen één lamp (L). De lamp licht op zodra er meerdan één schakelaar gesloten wordt. Schakelaars A en B kunnen nooit samen open zijn.Voor de logische niveaus geldt: lamp aan = 1, schakelaar gesloten = 1.

2.5 Karnaughkaart voor 5 en 6 veranderlijken.

Alle naast en onder elkaar liggende cellen verschillen juist één bit van elkaar. Hierdoor wordt het mogelijkde bovengenoemde vereenvoudigingsregels toe te passen. Vanaf vijf veranderlijken (=32 cellen) is hetonmogelijk deze logica op één kaart te brengen en zijn we genoodzaakt meerdere 4-bit kaarten te gebrui-ken en deze met elkaar te vergelijken.

Neem voor vijf veranderlijken twee kaarten van 16 cellen. Bij de ene kaart is de vijfde veranderlijke 0, opde andere 1.Vereenvoudigen binnen elke kaart blijft nog steeds mogelijk. Vergeet tijdens het noteren van de product-term de toestand van de vijfde veranderlijke niet. Deze is binnen de selectie immers constant. Over detwee kaarten heen vereenvoudigen kan pas indien de selecties kunnen gespiegeld worden rond de schei-dingslijn.

L AB C D= + +

L B,A00 01 11 10

D,C 00 x 1

01 x 1 1 1

11 x 1 1 1

10 x 1 1 1

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 12

Page 43: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Een functie van zes variabelen geeft vier 4-bit kaarten. Vereenvoudigen over de vier kaarten heen kan zo-dra de groepen zich spiegelen langs de horizontale en verticale scheidingslijnen.

3. Reduceren van het aantal componenten.

Een ontwerper vindt het belangrijk een minimum aan componenten in zijn schakeling te verwerken. Eerstzal hij de vergelijkingen vereenvoudigen. Dit geeft een functie met een minimum aan poorten . Vervolgenstracht hij een aantal poorten te vervangen door een ander type poorten om zodoende het aantal IC's tebeperken.

Vooreerst gaan we na waarom de NAND- en NOR-poort zo goed vertegenwoordigd zijn binnen het IC-pakket. Nadien gaan we aan de hand van een voorbeeld na hoe we tot een IC-reductie kunnen overgaan.

G FEDC DCB= + + EDC

G D,C A=0 A=100 01 11 10 10 11 01 00

F,E 00 x x 1 1

01 x 1 1 x 1 B=0

11 x x 1 1

10 1 1

10 x 1

11 1 1 B=1

01 x 1 1

00 x

F EDBA DBA= + + DCB

F C,B00 01 11 10 10 11 01 00

E,D 00

01 1 1 1

11 1 1 1

10 1 1

A=0 A=1

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 13

Page 44: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3.1 NAND- en NOR-poort als universele component.

Aan de hand van onderstaande schema's wordt aangetoond dat alle basispoorten (NOT, AND en OR) tevervangen zijn door een NAND- of NOR-schema.

Elke logische vergelijking, evenals de EXOR en EXNOR, kan als een som van producttermen geschrevenworden. Dit wil dus zeggen dat alle vergelijkingen als NAND- of NOR-schema's te tekenen zijn.

3.2 Reductie van het aantal IC's.

Aan de hand van onderstaande Karnaughkaart worden een aantal schema's uitgewerkt. Eerst met ele-mentaire basispoorten, gevolgd door een NOR- en NAND-schakeling.

F BC BD ACD= + +

F C,D00 01 11 10

A,B 00 x x

01 1 1 1 x

11 1 x 1

10 1

1

≥1

&

&

& &

&

&

&

≥1

≥1

≥1

≥1

≥1 ≥1

A

A

A

A

A A A

A

A

B

B

B

BB

B

F = A F F

F F

FF

F = AA = A F = A + A = A

F = AB

F = AB

F = A +B

F = A +B

F = A +B

F = A.B

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 14

Page 45: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Oplossing met elementaire basispoorten.

De drie AND-poorten kunnen samen in één 74xx11. Om binnen de TTL-reeks te bijven, moet de 3-inputOR worden opgesplitst over twee 2-input OR-poorten.

Oplossing met NOR-poorten.

Deze vergelijking levert geen componentenbesparing op.

Oplossing met NAND-poorten.

Deze oplossing is duidelijk te verkiezen boven de andere. De schakeling bevat 2 IC's.

F BC BD ACD

BC BD ACD

invertor

input NANDxx

input NAND xx

IC s

= + +

=

. .

'

1

2 21 74 00

2 3 1 74 10

2

F BC BD ACD

B C B D A C D

invertoren

input NORxx

input NOR xx

IC s

= + +

= + + + + + +

5

2 22 74 02

2 3 1 74 27

3

'

1&

&

&

≥1

B

F

D

C

A

1 1 74 04

2 2

1 31 74 11

1 3

3

invertor xx

input AND

input ANDxx

input OR

IC s

−−

'

1 74xx32

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 15

Page 46: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

4. Toepassingen.

1. Vereenvoudig onderstaande vergelijkingen volgens de Booleaanse algebra.

2. Vereenvoudig onderstaande waarheidstabellen volgens de Booleaanse algebra.

C B A F1 F2 F3 F4

0 0 0 0 0 0 10 0 1 1 0 0 00 1 0 1 1 1 10 1 1 1 1 1 01 0 0 0 1 0 11 0 1 1 1 0 01 1 0 1 0 1 01 1 1 1 0 1 1

F A B C A B C

F A B C A A B C

F A B C A B C A B C A B C A B C

F A B A A C D A D A

F A B B A B C

1

2

3

4

5

= +( ) + +

= +( ) +( ) +( )= + + + +

= + + +( )( ) +( )( )= +( ) +( ) +

. .

. . .

. . . . . . . . . .

. . . .

. . . BB Vereenvoudig tot input NAND s2 − '

D

C

B

A

F

&&

&

&

&

B

F

D

C

A

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 16

Page 47: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3. Bepaal de uitgangsfunctie en vereenvoudig deze volgens de Booleaanse algebra.Teken het vereenvoudigd schema.

4. Bepaal de functies van onderstaande Karnaughkaarten.

F1 A F2 A F3 A0 1 0 1 0 1

B 0 1 1 B 0 1 1 B 0 1

1 1 1 1 1

1

1

>1

>1

>1

>1

&

&

&

&

&

F

X

Z

Y

D C B A F5 F6 F7 F8

0 0 0 0 1 0 0 10 0 0 1 1 1 0 10 0 1 0 1 1 0 00 0 1 1 1 0 0 00 1 0 0 1 1 1 10 1 0 1 0 1 1 10 1 1 0 0 1 1 00 1 1 1 0 0 1 01 0 0 0 1 0 1 01 0 0 1 0 0 1 11 0 1 0 0 1 1 11 0 1 1 0 1 1 11 1 0 0 1 1 1 01 1 0 1 0 0 0 11 1 1 0 0 0 0 11 1 1 1 1 0 0 0

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 17

Page 48: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

F11 C,B00 01 11 10 10 11 01 00

E,D 00 1 1 1 1 1

01 1 1 1 1

11 1 1

10 1 1 1 1 1 1

A=0 A=1

F9 B,A F10 B,A00 01 11 10 00 01 11 10

D,C 00 x 1 1 1 D,C 00 1 1 -

01 x 1 01 - 1

11 11 1 x x 1

10 x 10 1 - 1

F7 B,A F8 B,A00 01 11 10 00 01 11 10

D,C 00 1 1 1 1 D,C 00 1 1

01 1 1 01 1 1 1

11 1 1 11 1 1

10 1 1 1 1 10 1 1

F4 A F5 A F6 A0 1 0 1 0 1

C,B 00 1 1 C,B 00 1 C,B 00 1

01 1 1 01 1 01 1 1

11 1 11 1 11 1

10 1 1 10 1 1 10 1

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 18

Page 49: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

5. Vereenvoudig toepassing 1, 2 en 3 met een Karnaughkaart.

6. Elektronische dobbelsteen.

Een elektronische dobbelsteen wordt voorgesteld met 7 LED's. Afhankelijk van de ingangscombinatie(CBA), zal de juiste LED-combinatie oplichten.

Merk op dat er maar 4 functies worden opgesteld, nl: a=g, b=f, c=e en d.

F14 C,B00 01 11 10 10 11 01 00

E,D 00 1 1 x 1 - 1

01 1 1 x x - -

11 1 x - 1 1 1

10 1 1 1 1 1

A=0 A=1

F13 C,B00 01 11 10 10 11 01 00

E,D 00 1 1 1 1 x 1 1 x

01 1 x 1 x x

11 1 x 1 1

10 1 1 1 x 1 1

A=0 A=1

F12 C,B00 01 11 10 10 11 01 00

E,D 00 1 1 1 x

01 1 x 1 x

11 1 1 1 1

10 1 1 x 1 1

A=0 A=1

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 19

Page 50: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

a,g A b,f A c,e A d A0 1 0 1 0 1 0 1

C,B 00 C,B 00 C,B 00 C,B 00

01 01 01 01

11 11 11 11

10 10 10 10

d

c = e

b = f

a = g

A

B

C

C B A a,g b,f c,e d0 0 00 0 10 1 00 1 11 0 01 0 11 1 0 - - - -1 1 1 - - - -

C B A0 0 0 0 0 1 0 1 0

0 1 1 1 0 0 1 0 1

a

c

fg

b

e d

Dobbelsteendecoder

C

B

A

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 20

Page 51: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

7. Vloeistofmeting.

Een niveau-alarm overwaakt een vloeistofreservoir. Het signaal, afkomstig van de niveaumeter, wordtvia een analoog-digitaal convertie omgevormd tot een 4-bit code (DCBA). De alarm-LED brandt indienhet niveau ≤ (3)10 of > (13)10. Voor alle andere combinaties blijft de OK-LED actief.

Teken het schema met NAND-poorten.

D C B A Alarm OK0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1

100

OK

Alarm

A

B

C

D

Niveaumeter

Niveaumeter ADC

Niveaudecoder

Alarm

OK

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 21

Page 52: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

8. Het boerenprobleem.

Een boer heeft een geit , een hongerige hond en een grote schuur, een opslagplaats van bloemkolen.De boer pendelt regelmatig met zijn dieren tussen het veld en de schuur. Als hij de hond en de geit al-leen samen achterlaat ( in de schuur of op het veld) bestaat er een grote kans dat er van zijn geit nietveel meer overblijft. Blijft de geit alleen achter in de schuur, dan zal deze zeker zijn kolenoogst oppeu-zelen. (Op het veld mag de geit de kolen opeten.)Om een katastrofe te verkomen vraagt de boer ons een draagbare schakeling te ontwerpen.De schakeling bestaat uit 4 schakelaars (Boer, Hond, Geit en Kool) en één lampje. Met een gedoofdlampje zit de boer veilig. Voor de ingangen geldt een "1" indien ze in de schuur zitten en een "0" alsze op het veld zijn.

Ontwerp de bijhorende schakeling.

F H,K00 01 11 10

B,G 00

01

11

10

B G H K F0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1

Alarm B,A OK B,A00 01 11 10 00 01 11 10

D,C 00 D,C 00

01 01

11 11

10 10

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 22

Page 53: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

9. De somcontroler.

Ontwerp een schakeling met als ingangen twee 2-bit woorden (B1, B0 en A1, A0 ). Op de uitgang ver-

schijnt een "1" zodra de som van beide groter of gelijk is aan 3.

10. Vereenvoudig onderstaande Karnaughkaart.Teken het schema achtereenvolgens met elementaire basispoorten, met NAND's en met NOR's.Welke van de drie opstellingen is het voordeligst?

F B,A00 01 11 10

D,C 00 1 1 1

01 1 x 1

11 1 1 1

10 x x

B1 B0 A1 A0 A+B S0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1

S A1,A000 01 11 10

B1,B0 00

01

11

10

B1

B0

A1

A0

S(A+B)≥3

DIGITALE TECHNIEKEN HOOFDSTUK 2 2. 23

Page 54: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Een digitaal verwerkingssysteem kan enkel binaire informatie verwerken. Een reeks enen en nullen wordtdoor de buitenwereld moeilijk geïnterpreteerd, vandaar dat er voortdurend een conversie plaatsvindt tus-sen deze binaire informatie en een leesbare code. De meest gebruikte talstelsels zijn natuurlijk het binairevoor de machine en het decimale voor de gebruiker. Omdat het binair getal al snel vrij veel bits omvatgaan programmeurs steeds over naar een hexadecimale voorstelling.Dit hoofdstuk beschrijft een drietal talstelsels (binair, decimaal en hexadecimaal) met de bijhorende con-versietechnieken. Verder komen een aantal basisbewerkingen (+ - x) op gehele getallen, binnen het binai-re talstelsel, aan bod.

1. Talstelsels.

Een getal bestaat uit een aantal tekens (of digits), kortweg cijfers genoemd. De positie van een cijfer bin-nen een getal bepaalt zijn belangrijkheid of gewicht. Uiterst rechts het laagst beduidende cijfer (Least Sig-nificant Digit) en uiterst links het meest beduidende cijfer (Most Significant Digit).

1.1 Het decimaal talstelsel.

Het decimaal talstelsel kent tien symbolen (0…9) en heeft als grondtal 10.Men kan een decimaal getal als volgt ontleden.

216,3 = 2.102 + 1.101 + 6.100 + 3.10-1 = 200 + 10 + 6 + 0,3 = 216,3 decimaal.

1.2 Het binair talstelsel.

Het binair talstelsel volgt een identieke logica. Bi is twee. Het grondtal is dus twee en de symbolen zijn 0en 1. Men spreekt nu van een BInary digiT of bit. Uiterst links staat de Most Significant Bit (MSB) enrechts de Least Significant Bit (LSB).

1011,1 = 1.23 + 0.22 + 1.21 + 1.20 + 1.2-1 = 8 + 0 + 2 + 1 + 0,5 = 11,5 decimaal.

1.3 Het hexadecimaal talstelsel.

Om een lange string van bits in verkorte vorm weer te geven wordt veelal het hexadecimale equivalentgebruikt. Deze voorstellingsmethode vind je terug bij de lagere programmeertalen zoals assembler.Het hexadecimaal talstelsel heeft als grondtal 16 en bevat daarom 16 symbolen (0…9 en A…F).

12,7 = 1.161 + 2.160 + 7.16-1 = 16 + 2 + 0,4375 = 18,4375 decimaal.

Bepaalde cijfercombinaties komen in verschillende talstelsels terug maar stellen daarom niet hetzelfdegetal voor. Om verwarring te voorkomen geeft het grondtal, als subscript, het bedoelde talstelsel weer.

(101)10 ≠ (101)2 ≠ (101)16

De opeenvolgende getallen construeren binnen een bepaald talstelsel, gebeurt als volgt: vertrek van heteerste symbool en ga telkens één symbool verder. Zijn alle symbolen gebruikt, begin dan terug vanaf hetlaagste en verhoog vervolgens de hogergelegen digit.

DIGITALE TECHNIEKEN HOOFDSTUK 3 3. 1

HOOFDSTUK 3Talstelsels en elementaire bewerkingen.

Page 55: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Onderstaande tabel geeft een vergelijkend overzicht van de, voor ons, drie belangrijkste talstelsels. Zelfsnegatieve gebroken getallen worden eenvoudig geconverteerd.

Merk op dat alle moderne rekentoestellen uitgerust zijn met conversietoetsen naar verschillende talstel-sels, maar ze zetten enkel het gehele gedeelte van een getal om en verwerpen de fractie.

2. Conversiemethodes tussen de verschillende talstelsels.

Om over te gaan van het ene naar het andere talstelsel bestaan verschillende methodes, waarvan er tel-kens één wordt behandeld.

2.1 Van grondtal X naar decimaal.

Bij de conversie van eender welk denkbaar talstelsel naar het decimaal talstelsel, volgt u steeds dezelfdemethode.Noteer voor elke digit het bijhorende decimaal getal en vermenigvuldig dit met een macht van het grond-tal. Maak vervolgens de optelling van alle deelresultaten.

(abc,de)x = (a.X 2 + b.X 1 + c.X 0 + d.X -1 + e.X -2 )10

(10110,101)2 = 1.2 4 + 0.2 3 + 1.2 2 + 1.2 1 + 0.2 0 + 1.2 -1 + 0.2 -2 + 1.2 -3

= 16 + 0 + 4 + 2 + 0 + 0,5 + 0 + 0,125= (22,625)10

Decimaal Binair Hexadecimaal0 0 0 1 1 1 2 10 2 3 11 3 4 100 4 5 101 5 6 110 6 7 111 7 8 1000 8 9 1001 9

10 1010 A 11 1011 B 12 1100 C 13 1101 D 14 1110 E 15 1111 F 16 10000 10 17 10001 11 … … …

101 1100101 65 … … …

257 100000001 101

-22,75 -10110,11 -16,C

DIGITALE TECHNIEKEN HOOFDSTUK 3 3. 2

Page 56: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

(2B7,2)16 = 2.16 2 + 11.16 1 + 7.16 0 + 2.16 -1

= 512 + 176 + 7 + 0,125= (695,125)10

2.2 Van decimaal naar grondtal X.

Splits het getal in een geheel deel en een decimale fractie.Geheel deel: Deel het getal door X. Noteer het gehele deel en de restwaarde. Herhaal deze bewerking

tot het geheel getal nul wordt.Decimale fractie : Vermenigvuldig de fractie met X. Trek het geheel getal er van af en herhaal de verme-

nigvuldiging met de overgebleven fractie tot deze nul wordt.

De restwaarden van de vermenigvuldiging en de gehele getallen van de deling vormen het getal in grond-tal X.

2.3 Van binair naar hexadecimaal.

Verdeel het binair getal in groepjes van 4 bits, vertrekkend vanaf de komma. Voeg eventueel nullen toe.Noteer het hexadecimaal equivalent van elk 4-bit groepje.

(10110,11011) 2 = ( ? ) 16

0001 0110 , 1101 1000

1 6 , D 8

(10110,11011) 2 = ( 16,D8 ) 16

(1473,7) 10 = ( ? ) 16

0 5 92 1473 0,7 0,2 0,2 …

5 C 1 B 3 3 …

(215,625) 10 = (5C1,B33…) 16

(215,625) 10 = ( ? ) 2

0 1 3 6 13 26 53 107 215 0,625 0,25 0,5 0

1 1 0 1 0 1 1 1 1 0 1

(215,625) 10 = (11010111,101) 2

rest

÷ 2 x 2

geheel getal

DIGITALE TECHNIEKEN HOOFDSTUK 3 3. 3

Page 57: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

2.4 Van hexadecimaal naar binair.

Ken aan elke hexadecimale digit de overeenstemmende 4-bit binaire code toe. Laat de overtollige nullenweg.

3. Voorstelling van positieve en negatieve gehele getallen.

Een digitale machine die positieve en negatieve gehele getallen (signed integers) wil verwerken, moet hetteken (+ of -) als bit (0 of 1) voorstellen. Er bestaan verschillende methodes om een signed integer voor te stellen, maar allen vertrekken ze vaneenzelfde principe. Vertrek van een vooraf bepaalde bitlengte. Afhankelijk van het toestel kan dit 4, 5, 8,16, … zijn. De MSB is steeds de tekenbit (0 voor een positief getal en 1 voor een negatief getal.). De ove-rige bits geven het getal weer. Een 6-bit getal bestaat uit één tekenbit en vijf databits.

Onderstaande tabel geeft enkele voorstellingsvormen weer voor een 4-bit getal.

Unsigned integer : Indien u enkel met positieve getallen werkt, vervalt de tekenbit en is de gebruikte bit-lengte niet van belang. In de praktijk werken digitale toestellen wel met een vaste bit-lengte en de niet-gebruikte bits worden opgevuld met nullen. Een 6-bit machine ver-werkt het decimale getal 5 (101 binair) als 000101.

TB + abs. waarde: De MSB bevat het teken, terwijl de resterende bits het getal in absolute waarde voor-stelt. Alle getallen zijn makkelijk leesbaar. Verder stellen we vast dat er twee voorstel-lingen zijn voor het getal nul. Het hardwarematig optellen en aftrekken van getallenvereist twee afzonderlijke schakelingen.

signed integerbitpatroon unsigned integer TB + abs. waarde 1 - complement 2 - complement

0000 0 + 0 + 0 + 00001 1 + 1 + 1 + 1

0010 2 + 2 + 2 + 2

0011 3 + 3 + 3 + 3

0100 4 + 4 + 4 + 4

0101 5 + 5 + 5 + 5

0110 6 + 6 + 6 + 6

0111 7 + 7 + 7 + 7

1000 8 - 0 - 7 - 8

1001 9 - 1 - 6 - 7

1010 10 - 2 - 5 - 6

1011 11 - 3 - 4 - 5

1100 12 - 4 - 3 - 4

1101 13 - 5 - 2 - 3

1110 14 - 6 - 1 - 2

1111 15 - 7 - 0 - 1

positieve getallennegatieve getallen

(73,A8) 16 = ( ? ) 2

7 3 , A 8

0111 0011 , 1010 1000

(73,A8) 16 = (1110011,10101) 2

DIGITALE TECHNIEKEN HOOFDSTUK 3 3. 4

Page 58: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

1 - complement: Om een negatief getal voor te stellen in het 1 - complement (one's complement code)volstaat het elke bit van het positief getal te inverteren om zijn negatieve tegenhangerte vinden. Zo wordt (-12)10 in een 6-bit woord geschreven als:

001100 = (+12)10

110011 = (-12)10

Ook hier zijn weer twee codes voor getal nul. Er zijn nu geen twee aparte schakelin-gen nodig om een op- en aftelling uit te voeren.

2 - complement: of two's complement code. Construeer een negatief getal volgens het 1 - complementen tel er vervolgens 1 bij. (-25)10 in een 7-bit woord wordt:

0011001 = (+25)10

1100110 = (-25)10 1 - complement

+ 11100111 = (-25)10 2 - complement

Er bestaat maar één code voor getal nul. Ook hier volstaat een optelschakeling omeen aftrekking uit te voeren.

ALLE toepassingen binnen deze cursus, waar negatieve getallen in voorkomen, ma-ken gebruik van het 2 - complement !!

Om een negatief getal af te lezen kan u de omgekeerde weg volgen. Trek van het negatief getal één af.Inverteer elke bit en u bekomt het getal in absolute waarde. Nu kan men bewijzen dat dit overeenstemtmet: elke bit van het negatieve getal inverteren en één optellen. Dan verschijnt eveneens het getal in ab-solute waarde. Als voorbeeld een 5-bit negatief getal (11011) 2.

11011 -1 11011 bits inverteren- 1 00100 +1

11010 bits inverteren = + 100101 =(+5)10 00101 =(+5)10

Dus (11011) 2 = (-5) 10.

De grenzen waarbinnen een n-bit getal zich bevindt zijn respectievelijk:

zonder teken 0 ≤ getal < 2n TB + abs. waarde - 2n-1 < getal < 2n-1

1 - complement - 2n-1 < getal < 2n-1 2 - complement - 2n-1 ≤ getal < 2n-1

4. Bewerkingen op binaire getallen.

De bewerkingen die aan bod komen zijn de optelling en de aftrekking van twee gehele binaire getallenmet teken. De vermenigvuldiging wordt enkel uitgevoerd op twee positieve gehele getallen.Een bewerking op 2 x n-bits zal als resultaat eveneens n-bits bevatten. Soms zal er een extra bit tevoor-schijn komen. Deze wordt gewoon verworpen. Men noemt dit een end-around carry.

DIGITALE TECHNIEKEN HOOFDSTUK 3 3. 5

Page 59: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

4.1 De optelling.

Het optellen van binaire getallen verloopt volgens dezelfde rekenregels als een decimale optelling.

0 + 0 = 00 + 1 = 11 + 0 = 11 + 1 = 10 0 schrijven, 1 als overdracht (carry) bij de juist hogergelegen bits optellen.1 + 1 + 1 = 11 1 schrijven, 1 als overdracht bij de juist hogergelegen bits optellen.

Enkele voorbeelden met 6-bit getallen:

1 1 1 1 (+7)10 0 0 0 1 1 1 (+15)10 0 0 1 1 1 1

+ (+5)10 + 0 0 0 1 0 1 + (+ 8)10 + 0 0 1 0 0 0

(+12)10 0 0 1 1 0 0 (+23)10 0 1 0 1 1 1

4.2 De aftrekking.

Herleid de aftrekking tot een optelling met het negatieve getal. (17)10 - (3)10 = (17)10 + (-3)10 .

(+5)10 0 0 0 1 0 1 (+8)10 0 0 1 0 0 0

- (+6)10 + 1 1 1 0 1 0 - (+ 3)10 + 1 1 1 1 0 1

(-1)10 1 1 1 1 1 1 (+5)10 1 0 0 0 1 0 1

(-20)10 (-25)10- (-13)10 + - (+7)10 +

(-7)10 (-32)10

4.3 De vermenigvuldiging.

Een vermenigvuldiging wordt herleid tot een reeks van optellingen. Ga alle bits van de vermenigvuldigerna, vertrekkend vanaf de LSB. Is de betreffende bit "1", neem dan het vermenigvuldigtal over. Staat de bitop "0", noteer dan niets of nullen. Elk volgend deelproduct schuift 1 plaats naar links. Voor de eenvoud beperken we ons tot positieve getallen.

(+3)10 0 0 0 0 1 1 vermenigvuldigtal

x (+5)10 x 0 0 0 1 0 1 vermenigvuldiger

(+15)10 0 0 0 0 1 1

0 0 0 0 0 00 0 0 0 1 1

0 0 0 0 0 00 0 0 0 0 0

+ 0 0 0 0 0 00 0 0 0 0 0 0 1 1 1 1

DIGITALE TECHNIEKEN HOOFDSTUK 3 3. 6

Page 60: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

5. Overflow.

Overflow of overloop treedt op zodra het resultaat van een bewerking de grenzen van het getal over-schrijdt. Ter illustratie vier voorbeelden. Voor een 5-bit getal geldt: (-16)10 … getal … (+15)10.

Voor een n-bit getal geldt: (- 2n-1)10 … getal … (+ 2n-1-1)10.

Geen overflow:

(-6)10 1 1 0 1 0 (+5)10 0 0 1 0 1

+ (+12)10 + 0 1 1 0 0 + (+ 7)10 + 0 0 1 1 1

(+6)10 1 0 0 1 1 0 (+12)10 0 1 1 0 0

Wel overflow:

(-8)10 1 1 0 0 0 (+4)10 0 0 1 0 0 getal A

+ (-14)10 + 1 0 0 1 0 + (+ 13)10 + 0 1 1 0 1 getal B

(-22)10 1 0 1 0 1 0 (+17)10 1 0 0 0 1 som S

Na het uitvoeren van een bewerking kan men aan de hand van het resultaat (S) en de beide op te tellengetallen (A en B) zeer snel controleren of er al dan niet overflow aanwezig is. Het is dus niet nodig het bi-naire resultaat te vergelijken met de decimale uitkomst. De tekenbits zeggen voldoende.Telt men twee positieve getallen met elkaar op, dan zal de som eveneens een positief getal zijn. Telt mentwee negatieve getallen op, dan is de som negatief.

Met andere woorden. Er treedt overflow op indien de som van twee positieve getallen een negatief getaloplevert of indien de som van twee negatieve getallen positief is.

6. Floating-point getallen.

Om grote gebroken getallen voor te stellen zal men hoofdzakelijk de floating-point notatie (vlottende kom-ma voorstelling) gebruiken. Het getal wordt weergegeven als een breuk (1,xxxx), vermenigvuldigd meteen exponent van het gekozen grondtal. Het grondtal is meestal 2, maar bepaalde toepassingen gevende voorkeur aan 16. De floating-point notatie bevat de fractie van de breuk (xxxx) met bijhorend teken ende exponent . Het grondtal is immers gekend en hoeft dus niet onthouden te worden.

De IEEE-standaard beschrijft het formaat en de bijhorende bewerkingsregels voor een floating-point nota-tie. Men gebruikt meestal 32 bits (single-precision) of 64 bits (double-precision).

getal = t . 1, f . 2 e t is de tekenbit (- = 1 , + = 0), f als fractie en e de exponent.

ATB BTB STB OV0 0 0 0 ATB = tekenbit van woord A0 0 1 10 1 0 0 BTB = tekenbit van woord B0 1 1 01 0 0 0 STB = tekenbit van de som S1 0 1 01 1 0 11 1 1 0

OV A B S A B STB TB TB TB TB TB= +. . . .

DIGITALE TECHNIEKEN HOOFDSTUK 3 3. 7

Page 61: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

De exponent wordt met respectievelijk 127 en 1023 verhoogd alvorens deze wordt bewaard.De beschikbare bits worden als volgt benut.

Enkele voorbeelden voor een single-precision getal.

(3,0)10 = 1,5 . 21 Tekenbit = + (0)2Exponent = 1 + 127 = 128 (1000 0000)2Fractie = 0,5 (0,100 … 000)2

=0100 0000 0100 0000 0000 0000 0000 0000 = (40400000)16

(-1,0)10 = -1,0 . 20 Tekenbit = - (1)2Exponent = 0 + 127 = 127 (0111 1111)2Fractie = 0,0 (0,000 … 000)2

=1011 1111 1000 0000 0000 0000 0000 0000 = (BF800000)16

(-0,28)10 = -1,12 . 2 -2 Tekenbit = - (1)2Exponent = -2 + 127 = 125 (0111 1101)2Fractie = 0,12 (0,00011 …)2

=1011 1110 1000 1111 0101 1100 0010 1000 = (BE8F5C28)16

7. Toepassingen.

1. Bereken de decimale waarde van volgende getallen.(172)9 =

(273,45)8 =

(35,14)6 =

2. Wat is het grondtal (x) in volgende gelijkheid?(111)x = (31)10

single-pr. t e + 127 fbit 31 30 23 22 0

double-pr. t e + 1023 fbit 63 62 52 51 0

single-precision double-precisionBitlengte van: teken (t) 1 1 exponent (e) 8 11 fractie (f) 23 52Exponent waarde -127 … +128 -1023 … +1024 voorinstelling 127 1023

DIGITALE TECHNIEKEN HOOFDSTUK 3 3. 8

Page 62: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3. Vervolledig onderstaande getallentabel.

4. Maak volgende bewerkingen met 6-bit getallen (inclusief tekenbit). Ga na of er overflow optreedt.

17 + 12 = 30 - 25 =-27 + 11 = -2 - 25 =18 + 20 = -20 - 16 =

5. Vervolledig het schema van een 2 x 4-bit opteller met een overflowdetector.

6. Geef de hexadecimale notatie van volgende floating-point getallen (single-precision).

(42,0)10 =

(-5,0)10 =

(0,25)10 =

A0

A1

A2

A3 ∑

B0

B1

B2

B3

S0

S1

S2

S3

Decimaal Binair Hexadecimaal

107,25

213,37

10110,1101

10110110,1

B3,A

1C,B

DIGITALE TECHNIEKEN HOOFDSTUK 3 3. 9

Page 63: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Een groot aantal digitale systemen zoals computers, rekentoestellen enz. werken voortdurend met cijfers,letters en andere symbolen.

Een rekentoestel bijvoorbeeld, ontvangt zijn informatie cijfer per cijfer. Een groep van cijfers vormt dan hetgetal. Elk cijfer verschijnt apart op het display. Het is dus evident dat de overgang naar het digitale ge-deelte volgens een specifieke codering verloopt.Alle toestellen die enkel getallen verwerken gaan sneller over tot een cijfercode (numerische code). Eengetal wordt opgesplitst in cijfers. Aan elk cijfer wordt vervolgens een unieke code toegekend. Een code van 4-bits volstaat meestal om aan elk cijfer een unieke combinatie toe te kennen.Als belangrijkste numerische codes noteren we: BCD, XC3, AIKEN, GRAY en 7-segment.

Indien meerdere bits in combinatie één parameter vertegenwoordigen, spreken we van een woord. Een 4-bit woord A wordt als volgt genoteerd. A = A3 A2 A1 A0. Het toegevoegde cijfer bepaalt het gewicht vande desbetreffende bit binnen het woord. Voor een 4-bit woord is A0 de LSB en A3 de MSB.

Naast de numerische codes bestaan er ook een aantal alfanumerische codes. Deze beschrijven, naastcijfers, eveneens letters en symbolen. Denk hierbij aan het toetsenbord van een computer. Elke toetskrijgt ook hier een unieke code toegekend. De ASCII-code is zowat de belangrijkste alfanumerische code.Deze wordt samengesteld volgens 7-bit woorden en laat hierbij 128 verschillende toetsdefinities toe.

1. Numerische codes.

Elk cijfer krijgt een unieke 4-bit code toegewezen. Daar er maar 10 cijfers voorhanden zijn zullen er tel-kens zes 4-bit combinaties ongedefinieerd blijven. Deze worden dus als verboden toestanden verwerkt.Onderstaande tabel geeft de relatie tussen elk decimaal cijfer en de bijhorende code. Tabel "Binair" geeft ,ter vergelijking, het natuurlijk binair getal (Hoofdstuk 3). De binaire voorstelling is GEEN cijfercode.

Als output van digitale systemen komt men regelmatig 7-segment displays tegen. Elk cijfer wordt afge-beeld op een display, bestaande uit 7 LED's. Elke LED wordt aangestuurd door één bit, vandaar een 7-bitcode. Afhankelijk van de technologie spreken we van een Common Anode (CA) of Common Cathode(CC) display. Het aanduiden van de verschillende segmenten gebeurt met een letter (a …g).

Decimaal Binair BCD XC3 AIKEN GRAY0 0 0000 0011 0000 00001 1 0001 0100 0001 00012 10 0010 0101 0010 00113 11 0011 0110 0011 00104 100 0100 0111 0100 01105 101 0101 1000 1011 01116 110 0110 1001 1100 01017 111 0111 1010 1101 01008 1000 1000 1011 1110 11009 1001 1001 1100 1111 110125 11001 0010 0101 0101 1000 0010 1011 0011 0111

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 1

HOOFDSTUK 4Codes en codeomvormers.

Page 64: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

1.1 BCD-code (Binary Coded Decimal).

Binnen de BCD-code volgt elk cijfer het natuurlijk binair getal, voorgesteld door 4-bits. De zes codes1010, 1011, 1100, 1101, 1110 en 1111 kunnen bijgevolg niet optreden.

Een BCD-getal heeft meer bits nodig dan zijn natuurlijk binair equivalent, vandaar dat de geheugencapa-citeit groter wordt. Het voordeel is evenwel dat de conversie van een decimaal getal naar BCD sneller ver-loopt dan naar binair. Indien een decimaal getal cijfer per cijfer wordt ingevoerd, moet een binaire conver-sie eerst het volledige getal afwachten alvorens tot conversie over te gaan. De BCD-conversie gebeurtdadelijk na het intoetsen van elk cijfer en dus sneller.Deze redenering geldt eveneens voor alle volgende 4-bit codes.

Optellen van twee BCD-getallen.

Aan de hand van een aantal voorbeelden worden de problemen van een BCD-optelling aangetoond.Maak de optelling volgens het principe van twee binaire getallen.

(13)10 = (0001 0011)BCD (0001 0011)BCD+ (25)10 = (0010 0101)BCD + (0010 0101)BCD

(38)10 =(0011 1000)BCD (0011 1000)BCD —> resultaat OK.

(27)10 = (0010 0111)BCD (0010 0111)BCD+ (36)10 = (0011 0110)BCD + (0011 0110)BCD

(63)10 =(0110 0011)BCD (0101 1101)BCD —> resultaat fout .

Zodra de som van twee cijfers groter wordt dan 9, komt deze in een verboden toestand terecht. In derge-lijke gevallen moet het foutieve resultaat met 0110 worden verhoogd (= 6 verboden toestanden over-slaan).

(27)10 = (0010 0111)BCD (0010 0111)BCD+ (36)10 = (0011 0110)BCD + (0011 0110)BCD

(63)10 =(0110 0011)BCD (0101 1101)BCD+ (0000 0110)BCD

(0110 0011)BCD —> resultaat OK.

De praktische realisatie van deze optelling volgt later (Hoofdstuk 7: adders)

(127)10 = ( 1 2 7 )10

= ( 0001 0010 0111 )BCD

Decimaal CC (a…g) CA (a…g)0 1111110 00000011 0110000 10011112 1101101 00100103 1111001 00001104 0110011 10011005 1011011 01001006 0011111 11000007 1110000 00011118 1111111 00000009 1110011 0001100

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 2

Page 65: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

1.2 XC3-code (Excess 3).

Zoals de naam het zegt zijn de eerste en laatste drie combinaties overbodig. 0000, 0001, 0010, 1101,1110 en 1111 zijn verboden toestanden.Zodra er informatie aanwezig is zal er minstens één bit 1 zijn. Een detectieschakeling is dan vlug ontwor-pen.

Voor een 4-bit XC3 cijfer (A3…A0) geld. F=1 : er is relevante informatie aanwezig. F=0 : geen informatie.

De toestand van F kan verder in een schakeling verwerkt worden om bijvoorbeeld het XC3-getal in eengeheugen te laden.

De XC3-code wordt regelmatig gebruikt als basis binnen een rekenmachine.

1.3 AIKEN-code.

De AIKEN-code gebruikt de eerste en laatste vijf combinaties. Ze wordt eveneens in rekenmachines toe-gepast.

1.4 GRAY-code.

Binnen de GRAY-code is de wisseling tussen twee opeenvolgende getallen steeds gelijk aan één bit. Destructuur die achter deze code schuilgaat kan als volgt worden omschreven.

(1) Vertrek met 0, gevolgd door 1.(2) Maak een horizontale spiegeling. Voeg links van het bovenste spiegelbeeld een 0 toe en aan

het onderste spiegelbeeld een 1. (3) Herhaal stap (2).(4) Herhaal stap (2) en verwerp de laatste 6 combinaties (*).

(1) (2) (3) (4)

0 00 000 00001 01 001 0001

11 011 001110 010 0010

110 0110111 0111101 0101100 0100

11001101

* 1111 ** 1110 ** 1010 ** 1011 ** 1001 ** 1000 *

≥1A0A1A2A3

F

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 3

Page 66: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

1.5 7-segment code.

7-segment displays komen regelmatig voor op een schakeling die het resultaat van een aantal bewerkin-gen in cijfervorm weergeeft. Denk hierbij aan digitale multimeters, functiegeneratoren, voedingen, reken-toestellen, …Verwar het 7-segment niet met een LCD-display dat in de meeste moderne toestellen wordt ingebouwd.Deze zijn qua werking en structuur totaal verschillend.

Een 7-segmentdisplay bevat minimum zeven LED's. Eventueel wordt één of meerdere puntsymbolen toe-gevoegd om decimale fracties weer te geven. Wij bespreken het basis display (7 LED's voor het cijfer + 1LED voor het dp). Elk segment krijgt een specifieke letter toegewezen.

Er bestaan twee types displays. Het common anode (CA) display bevat 8 LED's waarvan alle anodes in-wendig met elkaar worden verbonden. Bij een common cathode (CC) display worden alle cathodes metelkaar verbonden.

Het gemeenschappelijk punt wordt met een vaste spanning verbonden. Ucc op de anode van een CA dis-play en 0V op de kathode van CC. De spanning op de overige ingangen bepaalt of het desbetreffendesegment al dan niet oplicht. Daar elk segment niet meer is dan een LED, moet de aansluiting met de driver correct geschieden. Tus-sen een poortuitgang en het display staat een stroombeperkende weerstand van 150Ω à 220Ω. De span-ning over een LED in doorlaat bedraagt ± 2V bij een stroom van ±20 mA.De meeste poortuitgangen kunnen deze stroom niet leveren, vandaar dat speciale buffer IC's noodzakelijkzijn.

Uit de logica binnen de verschillende cijfers volgt automatisch de bijhorende code.

Voor de weergave van cijfer 4 geldt (segment a…g): CC : 0110011CA : 1001100

Een hoog actieve uitgang stuurt steeds een common cathode display aan. Gebruik een laag actieve uit-gang voor het common anode display.

cijfer 0 1 2 3 4 5 6 7 8 9

a b c d e f g dp

a b c d e f g dp

A

Ccommon cathode

common anode

a

b

c

d

e

fg

dp

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 4

Page 67: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

2. Alfanumerische codes.

2.1 EBCDIC-code.

EBCDIC staat voor Extended Binary Coded Decimal Interchange Code. Deze 8-bit code wordt gebruiktbinnen een aantal IBM-apparaten.

2.2 Hollerith-code.

Deze 12-bit code is afkomstig van de ponskaarten. Hierop werd informatie opgeslagen in de beginperiodevan de computer.

2.3 Barcode.

De barcode of streepjescode vindt u tegenwoordig op alle verpakkingen terug. Een etiket bevat een aan-tal, van elkaar gescheiden, streepjes. Met behulp van een leespen wordt de informatie in een computerbinnengenomen en verwerkt. De code bevat een fabrikantnummer, een landnummer, een productnummerenz…. De informatie wordt aan een database gekoppeld. Deze bevat onder andere de prijs van het pro-duct. De barcode is eveneens een goed hulpmiddel voor het automatiseren van een stockbeheer.

Er bestaan verschillende codeervormen. De belangrijkste, de EAN-code, wordt hoofdzakelijk in de ver-koopsindustrie toegepast.

2.4 ASCII-code.

De ASCII-code, American Standard Code for Information Interchange, is één van de meest gebruikte co-des om data uit te wisselen tussen verschillende toestellen. Bijna alle mini- en microcomputers maken ge-bruik van de ASCII-code.

De structuur binnen de code is zodanig uitgewerkt dat de informatieverwerking vrij eenvoudig manipuleer-baar wordt. ( Sorteren, omzetten van hoofd- naar kleine letters en omgekeerd, enz….)

De ASCII-code is een 7-bit code waardoor 128 verschillenende besturingsopdrachten en tekens mogelijkzijn.

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 5

Page 68: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Daar de huidige computers met minstens 8 bits werken, wordt er aan de ASCII-code eveneens een extrabit, de MSB, toegevoegd. Hierdoor kan de gebruiker zelf een aantal opties inbouwen.Zo kan de extra bit als pariteitsbit fungeren. Oneven pariteit wil zeggen dat de MSB zodanig wordt inge-vuld dat het aantal enen binnen de 8 bits steeds oneven is. Bij even pariteit wordt het aantal enen evengemaakt. De letter "S" ziet er, met pariteitsbit, zo uit:

even pariteit: 01010011 (pariteitsbit + ASCII-code)oneven pariteit: 11010011

Oneven pariteit heeft als voordeel dat er altijd minstens één bit 1 is, ook zonder toetsenbediening. Hier-door kan men eenvoudig testen of bijvoorbeeld het toetsenbord al dan niet is aangesloten op het compu-tersysteem.

Andere ontwerpers gebruiken de achtste bit voor de uitbreiding van het karakterset. Is de MSB = 0 danvolgt men de ASCII tabel. Bij een MSB = 1 volgt men een eigen set aan symbolen, grafische tekens, enz.

Zodra we de structuur van de ASCII-tabel van nabij bestuderen valt dadelijk op dat de laatste 4 bits vande cijfertekens tevens overeenstemt met de bijhorende BCD-code.

De gekozen code voor de lettertekens laat een aantal manipulaties toe.

De toestand van de voorlaatste bit bepaalt of het om een hoofdletter (0) of een kleine letter (1) gaat.

F = 100 0110 en f = 110 0110

Bij het omzetten van hoofd- naar kleine letters en omgekeerd volstaat het de zesde bit te inverteren.

Sorteeralgoritmes vertrekken vanaf de ASCII-code, gelezen als "binair getal". Bij het sorteren, van laag(0016) naar hoog (7F16), zien we dat de cijfers voor de letters komen. Wenst men geen onderscheid te

maken tussen kleine en hoofdletters, volstaat het de zesde bit te negeren.

3. Codeomvormers.

Een codeomvormer is een combinatorische schakeling die een bepaalde code transformeert naar een an-dere. Onder deze noemer vallen ook de decoders en encoders. Een decoder zet een bepaalde code vanN ingangen om naar maximaal 2N uitgangen. Een encoder volgt de omgekeerde weg. Maximaal 2N in-gangen omzetten naar een code met N uitgangsbits.

Een codeomvormer van code-A naar code-B krijgt binnen het symbool de notatie A/B .

3.1 DEC/BCD encoder volgens de diodematrix.

De encoder wordt opgebouwd rond 10 druktoetsen die elk een cijfer voorstellen. Met behulp van diodesgenereert de schakeling de BCD-code.

HEX BIN CIJFERS41 … 5A 100 0001 … 101 1010 A … Z61 … 7A 110 0001 … 111 1010 a … Z

HEX BIN CIJFERS30 … 39 011 0000 … 011 1001 0 … 9

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 6

Page 69: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

In rust vloeit er geen stroom doorheen de schakeling. Veronderstel dat we op drukknop 3 duwen. D1 enD2 komen beide in geleiding en brengen BCD1 en BCD0 op voedingsspanning. BCD3 en BCD2 blijvenspanningsloos. Resultaat : de BCD-code 0011 verschijnt op de uitgang van de encoder. (Hoog actieve uit-gangen.)

Deze schakeling kent geen prioriteit. Zodra er meerdere toetsen gelijktijdig actief zijn, verschijnt er op deuitgang een combinatie van de geactiveerde ingangen.

3 en 7 geactiveerd: 0011 en 0111 geeft 0111 op de uitgangen.2 en 4 geactiveerd : 0010 en 0100 geeft 0110 op de uitgangen.

Het resultaat is dus een OR-functie van het de BCD-code voor de verschillende geactiveerde toetsen.

3.2 DEC/BCD encoder met poorten.

De meest eenvoudige oplossing volgt de vergelijking:

DEC/BCD

1

2

4

8

0123456789

0123456789

BCD0

BCD1

BCD2

BCD3

BCD0 = 1+ 3+ 5+ 7+9

BCD1 = 2+3+6+ 7

BCD2 = 4+ 5+6+ 7

BCD3 = 8+ 9

+5V

0

BCD3BCD2BCD1BCD0

1

3

5

7

9

2

6

4

8

D2D1

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 7

Page 70: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Deze schakeling kent geen prioriteit en is daardoor in de meeste toepassingen ongeschikt.

Onderstaande waarheidstabel geeft de logica weer van een encoder met prioriteit van het hoogste getal.Neem bijvoorbeeld code 0101. Deze verschijnt op de encoderuitgangen indien getal 5 actief is. Alle klei-nere getallen mogen op dat moment eveneens actief zijn. Alle hogere getallen niet.

De vergelijkingen worden hierdoor wel iets uitgebreider.

Na vereenvoudiging volgt:

BCD

BCD

BCD

BCD

0

1

2

3

123456789 3456789 56789 789 9

23456789 3456789 6789 789

456789 56789 6789 789

89 9

= + + + +

= + + +

= + + +

= +

0 1 2 3 4 5 6 7 8 9 BCD3 BCD2 BCD1 BCD0

1 0 0 0 0 0 0 0 0 0 0 0 0 0x 1 0 0 0 0 0 0 0 0 0 0 0 1x x 1 0 0 0 0 0 0 0 0 0 1 0x x x 1 0 0 0 0 0 0 0 0 1 1x x x x 1 0 0 0 0 0 0 1 0 0x x x x x 1 0 0 0 0 0 1 0 1x x x x x x 1 0 0 0 0 1 1 0x x x x x x x 1 0 0 0 1 1 1x x x x x x x x 1 0 1 0 0 0x x x x x x x x x 1 1 0 0 1

≥1

≥1≥1

≥1

≥1

≥1≥1

≥1≥1

≥1

1

5

BCD0

3

2

7

BCD1

BCD2

6

4

9

8BCD3

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 8

Page 71: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Met andere woorden: BCDx = 1 indien geen ander meerbeduidend cijfer op 1 staat, behalve deze dieBCDx 1 maken.

Een 74xx147 is een high-priority DEC/BCD encoder met laag actieve in- en uitgangen. Ingang "0" is nietaanwezig. Deze heeft immers geen invloed op de uitgangen.

BCDx = 0 indien geen ander meerbeduidend cijfer op 0 staat, behalve deze die BCDx 0 maken.

De 74xx148 is een "8-line to 3-line priority encoder". Door meerdere van deze componenten na elkaar teplaatsen, wordt het encoderbereik uitbreidbaar met een veelvoud van 8 ingangen.

BCD

BCD

BCD

BCD

0

1

2

3

12468 3468 568 78 9

2 3 4589 6 7 89

4 5 6 7 89

8 9 8 9

( ) ( )

( )

.

= + + + +

= + + +

= + + +

= + =

1 2 3 4 5 6 7 8 9 BCD3 BCD2 BCD1 BCD0

1 1 1 1 1 1 1 1 1 1 1 1 10 1 1 1 1 1 1 1 1 1 1 1 0x 0 1 1 1 1 1 1 1 1 1 0 1x x 0 1 1 1 1 1 1 1 1 0 0x x x 0 1 1 1 1 1 1 0 1 1x x x x 0 1 1 1 1 1 0 1 0x x x x x 0 1 1 1 1 0 0 1x x x x x x 0 1 1 1 0 0 0x x x x x x x 0 1 0 1 1 1x x x x x x x x 0 0 1 1 0

7 4 … 1 47

1HPRI/BCD

23456789

1248

111 122 133 14 25 36 47 58 109

9 BCD07 BCD16 BCD214 BCD3

BCD

BCD

BCD

BCD

0

1

2

3

12468 3468 568 78 9

24589 34589 689 789 2 3 4589 6 7 89

489 589 689 789 4 5 6 7 89

8 9

( ) ( )

( )

= + + + +

= + + + = + + +

= + + + = + + += +

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 9

Page 72: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Op dit symbool komen weer een aantal nieuwe tekens voor. Vooreerst zijn er de afhankelijkheidstekensZ, V en EN. Alle afhankelijkheidsnotaties, behalve EN, definiëren de afhankelijkheid tussen interne, logi-sche waarden.De verschillende afhankelijkheidsnotaties zijn:

A : Adres-afhankelijkheid.C : Commando-afhankelijkheid.EN : Enable-afhankelijkheid.G : AND-afhankelijkheid.V : OR-afhankelijkheid.N : EXOR-afhankelijkheid.Z : Interne verbinding.M : Mode-afhankelijkheid.S : Set-afhankelijkheid.R : Reset-afhankelijkheid.

Het afhankelijkheidssymbool wordt gevolgd door een volgnummer of een Grieks symbool. Alle in- en uit-gangen, die hetzelfde volgnummer bevatten, worden beïnvloed. Als voorbeeld onderstaand symbool metbijhorend schema.

Het scheidingssymbool / geeft aan dat een bepaalde ingang meerdere functie uitoefent.

Het symbool van de 74xx148 leert ons dat OE =1 indien EN = 1 of indien een ingang van 0 … 7 nul is.GS = 0 indien een ingang van 0 … 7 nul is en indien EN = 0.De uitgangen OUT2 … OUT0 zijn de gecodeerde uitgangen. Het cijfer binnen het symbool (1, 2 en 4)geeft het gewicht van de desbetreffende bit aan. Selecteert men op de ingangen "5", dan komen de uit-gangen met gewicht 1 en 4 (samen dus 5) laag, op voorwaarde dat EN = 0.Enable (EN) kan u beschouwen als een schakelaar die de component op/af schakelt.

≥1&

&1

≥1

A

B

D

E

X1

X2

&

≥1

V1

1C

Z2

2

G3

3

A

B

C

D

E

X1

X2

7 4 … 1 4 8

HPRI/BIN

ENα

0/Z101/Z112/Z123/Z134/Z145/Z156/Z167/Z17

1α2α4α

1011121314151617

18

α

≥1

V18

100 111 122 133 14 25 36 47

5EN 7 OUT1

9 OUT0

6 OUT2

14 GS

15 OE

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 10

Page 73: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Onderstaande tabel geeft een overzicht van de verschillende mogelijkheden met een 74xx148.

Onderstaand schema geeft een "16-line to 4-line priority encoder" (laag actieve in- en uitgangen). Ga zelfde werking na.

Vervang alle AND's door NAND's en de schakeling krijgt hoog actieve uitgangen.

7 4 … 1 4 8

HPRI/BIN

ENα

0/Z101/Z112/Z123/Z134/Z145/Z156/Z167/Z17

1α2α4α

1011121314151617

18

α

≥1

V18

7 4 … 1 4 8

HPRI/BIN

ENα

0/Z101/Z112/Z123/Z134/Z145/Z156/Z167/Z17

1α2α4α

1011121314151617

18

α

≥1

V18

&

&

&

&

111 122 133

25

47

9

15 OE1

108 119

1311 112

314 415

9

6

14 BIN4

15

5

OE2

5

14 GS1

7

7

6

ACTIVATE

BIN1

BIN2

BIN3

100

14

36

1210

213

EN1

EN2

GS2

EN 0 1 2 3 4 5 6 7 OUT2 OUT1 OUT0 OE GS1 x x x x x x x x 1 1 1 1 10 1 1 1 1 1 1 1 1 1 1 1 0 10 0 1 1 1 1 1 1 1 1 1 1 1 00 x 0 1 1 1 1 1 1 1 1 0 1 00 x x 0 1 1 1 1 1 1 0 1 1 00 x x x 0 1 1 1 1 1 0 0 1 00 x x x x 0 1 1 1 0 1 1 1 00 x x x x x 0 1 1 0 1 0 1 00 x x x x x x 0 1 0 0 1 1 00 x x x x x x x 0 0 0 0 1 0

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 11

Page 74: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3.3 BIN/DEC decoder.

Deze schakeling selecteert één uitgang in functie van de binaire code op 4 ingangen.

De schakeling kan eenvoudig afgeleid worden uit de Karnaughkaart. Elke cel bevat één geselecteerde uit-gang. Als voorbeeld: indien B3 …B0 = 1001, dan is uitgang 9 actief en alle anderen niet.

De verschillende uitgangsvergelijkingen zijn:

3.4 BCD/DEC decoder.

Deze schakeling selecteert één uitgang in functie van de BCD-code op 4 ingangen.

De Karnaughkaart bevat nu een aantal verboden toestanden. Wensen we een decoder met onderdruk-king van de verboden toestanden , vul de Karnaughkaart dan verder aan met logische nullen op de ver-boden posities. Zodra een ongeldige toestand wordt aangeboden, blijven alle uitgangen laag.

BCD/DEC

1

2

4

8

0123456789

B0

B1

B2

B3

0123456789

B1,B0

00 01 11 10

B3,B2 00 0 1 3 2

01 4 5 7 6

11 - - - -

10 8 9 - -

0 4 8 12

1 5 9 13

3 2 1 0 3 2 1 0 3 2 1 0 3 2 1 0

3 2 1 0 3 2 1 0 3 2 1 0 3 2 1

= = = =

= = = =

B B B B B B B B B B B B B B B B

B B B B B B B B B B B B B B B

B

B B B B B B B B B B B B B B B B

B B B B B B B B B B B B B B

0

3 2 1 0 3 2 1 0 3 2 1 0 3 2 1 0

3 2 1 0 3 2 1 0 3 2 1 0 3

2 6 10 14

3 7 11 15

= = = =

= = = = 22 1 0 B B

BIN/DE C

1

2

4

8

0123456789101112131415

B0

B1

B2

B3

0123456789101112131415

B1,B0

00 01 11 10

B3,B2 00 0 1 3 2

01 4 5 7 6

11 12 13 15 14

10 8 9 11 10

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 12

Page 75: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

De uitgangsvergelijkingen zijn identiek aan deze van de BIN/DEC decoder.

Een decoder zonder onderdrukking van de verboden toestanden maakt wel gebruik van de verbodentoestanden tijdens de vereenvoudiging. De vergelijkingen die we hiermee bekomen zijn:

Brengen we de verboden toestand 1101 op de schakeling, dan activeren we zowel uitgang 5 als uitgang9.Ga zelf na wat er op de uitgangen verschijnt bij de overige verboden ingangscombinaties.

De 74xx42 is een BCD/DEC decoder met onderdrukking van de verboden toestanden. Merk op dat de uit-gangen laag actief zijn. Ingangscode 0110 brengt uitgang 6 laag (pin 7). Alle andere uitgangen blijven opdat moment hoog.

7 4 … 4 2

BCD/DEC

1

2

4

8

0123456789

15

14

13

12

123456791011

0 4 8

1 5 9

2 6

3 7

3 2 1 0 2 1 0 3 0

3 2 1 0 2 1 0 3 0

2 1 0 2 1 0

2 1 0 2 1

= = =

= = =

= =

= =

B B B B B B B B B

B B B B B B B B B

B B B B B B

B B B B B B0

0 4 8

1 5 9

2 6

3 2 1 0 3 2 1 0 3 2 1 0

3 2 1 0 3 2 1 0 3 2 1 0

3 2 1 0 3 2 1

= = =

= = =

= =

B B B B B B B B B B B B

B B B B B B B B B B B B

B B B B B B B

B

B B B B B B B B

0

3 2 1 0 3 2 1 03 7= =

B1,B0

00 01 11 10

B3,B2 00 0 1 3 2

01 4 5 7 6

11

10 8 9

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 13

Page 76: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3.5 BCD/7-segment decoder.

Onderstaande waarheidstabel bepaalt de vergelijkingen van een 7-segment decoder met laag actieve uit-gangen, voor het sturen van een common anode display.

e BCD1,BCD0 d BCD1,BCD0

00 01 11 10 00 01 11 10

BCD3,BCD2 00 1 1 BCD3,BCD2 00 1

01 1 1 1 01 1 1

11 - - - - 11 - - - -

10 1 - - 10 1 - -

g BCD1,BCD0 f BCD1,BCD0

00 01 11 10 00 01 11 10

BCD3,BCD2 00 1 1 BCD3,BCD2 00 1 1 1

01 1 01 1

11 - - - - 11 - - - -

10 - - 10 - -

DEC BCD3 BCD2 BCD1 BCD0 g f e d c b a0 0 0 0 0 1 0 0 0 0 0 01 0 0 0 1 1 1 1 1 0 0 12 0 0 1 0 0 1 0 0 1 0 03 0 0 1 1 0 1 1 0 0 0 04 0 1 0 0 0 0 1 1 0 0 15 0 1 0 1 0 0 1 0 0 1 06 0 1 1 0 0 0 0 0 0 1 17 0 1 1 1 1 1 1 1 0 0 08 1 0 0 0 0 0 0 0 0 0 09 1 0 0 1 0 0 1 1 0 0 0

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 14

Page 77: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

De vergelijkingen, zonder onderdrukking van de verboden toestanden, zijn:

Ga zelf na welke uitgangscombinaties er ontstaan bij een verboden ingangscombinatie.

Als geïntegreerde schakeling bespreken we de 74xx47 en 74xx48. Het enige verschil tussen beide 7-seg-ment decoders is de uitgangspolariteit. De 74xx47 bezit laag actieve uitgangen, de 74xx48 hoog actieveuitgangen. Naast de gekende in- en uitgangen bevat de component drie extra ingangen. Ze geven bijko-mende mogelijkheden aan de decoder.

Aan de hand van het symbool wordt de werking en de waarheidstabel verduidelijkt.

code 1010 1011 1100 1101 1110 1111

g BCD BCD BCD BCD BCD BCD

f BCD BCD BCD BCD BCD BCD BCD

e BCD BCD BCD

d BCD BCD BCD BCD BCD BCD

c BCD BCD BCD

b BCD BCD BCD

a BCD BCD

( )

( )

= +

= + +

= +

= ⊕ +

== ⊕

=

3 2 1 2 1 0

3 2 0 2 1 1 0

2 1 0

1 2 0 2 1 0

2 1 0

2 1 0

3 2 BCDBCD BCD BCD BCD1 0 2 0 +

a BCD1,BCD0

00 01 11 10

BCD3,BCD2 00 1

01 1 1

11 - - - -

10 - -

c BCD1,BCD0 b BCD1,BCD0

00 01 11 10 00 01 11 10

BCD3,BCD2 00 1 BCD3,BCD2 00

01 01 1 1

11 - - - - 11 - - - -

10 - - 10 - -

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 15

Page 78: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Het eerste wat opvalt is het ruit-symbool op de uitgangen. Dit symbool staat voor "open-collector" uitgang(OC). De inwendige structuur van deze uitgang is totaal verschillend ten opzichte van de gekende uit-gangsstructuur (Totem-pole uitgang, TP). In plaats van 0 (GND) of 1 (Ucc), kent een OC uitgang de toe-standen 0 (GND) en Z (hoogimpedant ). In zijn hoogimpedante toestand is de uitgangspin volledig van deschakeling gescheiden en daardoor spanningsloos.Een open-collector ziet er schematisch als volgt uit:

De uitgang staat in verbinding met de collector van de eindtransistor. De emitter ligt op massapotentiaal.De eindtransistor gedraagt zich als een schakelaar, bediend langs de basis.Breng de transistor in geleiding en de uitgang staat op 0 (GND). De transistor uit geleiding geeft eenhoogimpedante toestand op de uitgang.

Twee uitgangen met elkaar verbinden mag nooit, met uitzondering van OC-uitgangen. Indien twee TP-uit-gangen een verschillend logisch niveau aannemen, zal er een "kortsluiting" ontstaan zodra beiden met el-kaar worden verbonden. Twee of meerdere OC-uitgangen met elkaar verbonden geeft als resultaat een wired-AND functie.

In tabel A en B zijn de toestanden opgenomen die beide uitgangen kunnen aannemen, zonder dat ze metelkaar in verbinding staan. F geeft het resultaat na de verbinding van A en B.

Uitgang F is onder deze vorm niet geschikt om een volgende poortingang te sturen. In hoogimpedantetoestand ontvangt de te sturen ingang geen spanning, dus deze ingang zweeft (onbepaalde toestand!).Verbind F met een weerstand naar Ucc. Z verdwijnt en wordt vervangen door een logische 1. Onbelastvloeit er immers geen stroom door deze weerstand, indien beide uitgangen hoogimpedant zijn. Op F staatdan een spanning Ucc. De uitgang is nu wel geschikt om ingangen te sturen.

1

1

A

BF

B A F0 0 00 Z 0Z 0 0Z Z Z

==

7 4 … 4 7

V20

BIN/7-SEG

CT=0

G21&

1248

≥1

a 20,21

e 20,21d 20,21

f 20,21

b 20,21c 20,21

g 20,21

[ T1 ]

7BIN0 1BIN1 2BIN2 6BIN3

3LT

5RBI

4BI/RBO

14 g

15 f

9 e

10 d

11 c

12 b

13 a

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 16

Page 79: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

De toegevoegde weerstand noemt men een pull-up weerstand.

Het ruitvorm op de BI/RBO-pin van de 74xx47 geeft aan dat het een open-collector uitgang betreft waarbijde pull-up weerstand zich in het IC bevindt. (Horizontale streep door de ruit.) Merk verder op dat BI/RBOeen bidirectionele pin is (zowel in- als uitgang).

De driehoek bovenaan het symbool van de 74xx47 zegt ons dat de uitgangen gebufferd zijn. In combina-tie met een open-collector is elke uitgang in staat voldoende stroom te leveren om één LED van het 7-segment aan te sturen.

De verschillende toestanden van de uitgangen worden niet volledig binnen het symbool beschreven. Denotatie [T1] geeft aan dat er een werkingstabel bijhoort. Deze is eveneens opgenomen binnen onze waar-heidstabel.

Wat wel op het symbool terug te vinden is, zijn de functies van de extra ingangen BI/RBO, RBI en LT. Hetresultaat is eveneens opgenomen in de waarheidstabel.

0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

DEC offunction LT RBI BIN 3 BIN0 BIN1 BIN0 BI/RBO a b c d e f g

0 1 1 0 0 0 0 1 0 0 0 0 0 0 Z1 1 x 0 0 0 1 1 Z 0 0 Z Z Z Z2 1 x 0 0 1 0 1 0 0 Z 0 0 Z 03 1 x 0 0 1 1 1 0 0 0 0 Z Z 04 1 x 0 1 0 0 1 Z 0 0 Z Z 0 05 1 x 0 1 0 1 1 0 Z 0 0 Z 0 0 [T1]6 1 x 0 1 1 0 1 Z Z 0 0 0 0 07 1 x 0 1 1 1 1 0 0 0 Z Z Z Z8 1 x 1 0 0 0 1 0 0 0 0 0 0 09 1 x 1 0 0 1 1 0 0 0 Z Z 0 010 1 x 1 0 1 0 1 Z Z Z 0 0 Z 011 1 x 1 0 1 1 1 Z Z 0 0 Z Z 012 1 x 1 1 0 0 1 Z 0 Z Z Z 0 013 1 x 1 1 0 1 1 0 Z Z 0 Z 0 014 1 x 1 1 1 0 1 Z Z Z 0 0 0 015 1 x 1 1 1 1 1 Z Z Z Z Z Z ZBI x x x x x x 0 Z Z Z Z Z Z Z (2)

RBI 1 0 0 0 0 0 0 Z Z Z Z Z Z Z (3)LT 0 x x x x x 1 0 0 0 0 0 0 0 (4)

1

1

A

BF

+5V

Rpull-up

B A F0 0 00 Z 0Z 0 0Z Z 1

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 17

Page 80: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Elke uitgang krijgt een letter van het segment, gevolgd door de notatie 20 en 31. 20 staat met een OR-functie in relatie met de letter, gevolgd door een AND-functie met 21. Voor segment uitgang a geldt:

Uitgang actief met functie (a+20).21. De volledige vergelijking is dan:

Hieruit kunnen we het volgende afleiden:

BI/RBO = 0 (toestand 2 in de waarheidstabel).

De functie voor uitgang a is 0, hierdoor komt de uitgang in zijn hoogimpedante toestand. De overige uit-gangen volgen dezelfde logica. Zodra de BI-ingang 0 wordt, doven alle segmenten.Door het aansturen van BI kan men de lichtintensiteit of de helderheid van het display dimmen.Meestal gebeurt dit met behulp van een blokgolfspanning, langs een poort, op de BI-ingang aan te sluiten.Let op: de gebruikte poort moet een open-collector uitgang bezitten!

RBI = 0 , LT = 1 en CT=0 (toestand 3).

CT=0 is waar zodra het aangelegd getal op BIN3…BIN0 (0)10 is. Zijn daarbij RBI =0 en LT = 1, dan dooft

het display.Deze optie bewijst zijn nut op meer-digit segmenten (rekenmachine). De leidende nullen worden hierbijonderdrukt om de leesbaarheid van het getal te verhogen.Verder wordt de RBO-uitgang 0. Dit is niet af te leiden uit het symbool, enkel uit het inwendig schema vande component.

LT = 0 en BI = open (toestand 4).

BI open geeft inwendig een logische 1, omwille van de geïntegreerde pull-up weerstand.Is daarbij LT=0, dan licht het volledige segment op. Gebruik deze combinatie om het display te controle-ren op segmenten die eventueel stuk zijn.

Samengevat:

BI (Blanking Input) actief:dooft het volledig display, onafhankelijk van het aangeboden binair getal.

LT (Lamp Test) actief:en BI open, activeert het volledig display, onafhankelijk van het aangeboden binair getal.

RBI (Ripple Blanking Input) actief:en LT niet actief, dooft het volledig display op voorwaarde dat het aangeboden binair getal nul is.RBO (Ripple Blanking Input) wordt eveneens actief.

Het volgende schema geeft een combinatieschakeling van drie displays om een getal tot 1000 voor testellen. De schakeling is tevens voorzien van een helderheidsregeling (BLK), een lamp test (druktoets B)en de leidende nullen worden onderdrukt. Het eenheden-display heeft steeds een 1 op de RBI-ingang.Het cijfer nul wordt niet onderdrukt.

( ) . .( ) a RBI CT+ + = =0 1 0 0 1

( ) / . . a BI RBO+ + =1 0 1 1 0

( ) . .( ) a LT RBI LT CT+ + = =0 0 0

( ) / . .( )a LT BI RBO RBI LT CT+ + = 0

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 18

Page 81: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3.6 Codeomvormers.

Als bijkomende codeomvormers zijn er vrij weinig verkrijgbaar als IC, daarom gaan we zelf de omvormersbouwen. We vermelden hier reeds dat er naast omvormers met poorten eveneens een oplossing kan ge-vonden worden met multiplexers en adders.

Als voorbeeld ontwerpen we een BCD/AIKEN codeomvormer, zonder onderdrukking van de verbodentoestanden. Zowel de in- als uitgangen zijn hoogactief.

7 4 … 4 7

V20

BIN/7-SEG

CT=0

G21&

1248

≥1

a 20,21

e 20,21d 20,21

f 20,21

b 20,21c 20,21

g 20,21

[ T1 ]

7 4 … 4 7

V20

BIN/7-SEG

CT=0

G21&

1248

≥1

a 20,21

e 20,21d 20,21

f 20,21

b 20,21c 20,21

g 20,21

[ T1 ]

7 4 … 4 7

V20

BIN/7-SEG

CT=0

G21&

1248

≥1

a 20,21

e 20,21d 20,21

f 20,21

b 20,21c 20,21

g 20,21

[ T1 ]

+5V

1

+5V

1

1

7126

3

3

3

1415910111213

7126

1415910111213

7126

1415910111213

5

5

5

4

4

4

B

BLK

LT (T)

RBI (T)

BI/RBO (T)

LT (H)

RBI (H)

BI/RBO (H)

LT (E)

RBI (E)

BI/RBO (E)

Tientallen

Eenheden

Honderdtallen

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 19

Page 82: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Op de ingangen van het symbool noteren we het gewicht van elke bit. Op de uitgang staat het getal , de-cimaal genoteerd, waarbij deze actief wordt. Het streepje tussen de getallen geeft een OF-relatie aan.Uitgang B2 wordt actief indien het aangelegde BCD-getal gelijk is aan 0100 (= 410) of 0110 of 0111 of

1000 of 1001.

B1 A1,A0 B0 A1,A0

00 01 11 10 00 01 11 10

A3,A2 00 1 1 A3,A2 00 1 1

01 1 01 1 1

11 - - - - 11 - - - -

10 1 1 - - 10 1 - -

B3 A1,A0 B2 A1,A0

00 01 11 10 00 01 11 10

A3,A2 00 A3,A2 00

01 1 1 1 01 1 1 1

11 - - - - 11 - - - -

10 1 1 - - 10 1 1 - -

A3 A2 A1 A0 B3 B2 B1 B0

0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 0 1 10 1 0 0 0 1 0 00 1 0 1 1 0 1 10 1 1 0 1 1 0 00 1 1 1 1 1 0 11 0 0 0 1 1 1 01 0 0 1 1 1 1 1

BCD/AIKEN

1

2

4

8

1/3/5/7/9

2/3/5/8/9

4/6/7/8/9

5/6/7/8/9

A0

A1

A2

A3

B0

B1

B2

B3

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 20

Page 83: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Het verloop van de verboden toestanden is eveneens opgenomen in het tijdsdiagram.

Voor een BCD/AIKEN codeomvormer met laag actieve uitgangen volstaat het de uitgangen op het sche-ma te hernoemen. Verwissel B3 met B0 en B2 met B1.

B0

B1

B2

B3

A0

A1

A2

A3

&

≥1

1

&

≥1

&≥1

&1 ≥1

&

≥1

1

B3

B2

B1

A0

A1A1

A3

A2

B0

B A A A A A

B A A A A A

B A A A A A A

B A

3 3 2 1 2 0

2 3 2 1 2 0

1 3 2 1 2 1 0

0 0

= + +

= + +

= + +=

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 21

Page 84: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

4. Toepassingen.

1. Vervolledig de diodematrix voor een DEC/XC3 encoder met hoog actieve in- en uitgangen.

2. Pas het schema van de DEC/XC3 encoder aan voor: laag actieve in-en uitgangen.laag actieve ingangen en hoog actieve uitgangen.hoog actieve ingangen en laag actieve uitgangen.

3. Ontwerp een DEC/AIKEN encoder met poorten. De schakeling reageert op een prioriteit van het laag-ste getal. Zowel in- als uitgangen zijn hoog actief. Teken tevens het bijhorend symbool.

4. Ontwerp een DEC/GRAY encoder met poorten en een prioriteit van het hoogste getal. De ingangenzijn hoog actief, de uitgangen laag actief. Teken het symbool.

0 1 2 3 4 5 6 7 8 9 AI3 AI 2 AI 1 AI 0

0

XC3 3XC3 2XC3 1XC3 0

1

3

5

7

9

2

6

4

8

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 22

Page 85: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

5. Ontwerp een AIKEN/DEC decoder, zonder onderdrukking van de verboden toestanden. De uitgangenzijn laag actief.

Wat verschijnt er op de uitgangen bij een verboden ingangscombinatie?

6. Ontwerp een 7-segment decoder voor het aansturen van een common cathode display. De decode-ring gebeurt als volgt:

0 1 2 3 4 5 6 7 8 9 A B C D E FHEX

AI 3 AI 2 AI 1 AI 0 0 1 2 3 4 5 6 7 8 90 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 0

AI 3 AI 2 AI 1 AI 0 0 1 2 3 4 5 6 7 8 90 0 0 00 0 0 10 0 1 00 0 1 10 1 0 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1

0 1 2 3 4 5 6 7 8 9 GRAY3 GRAY2 GRAY1 GRAY0

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 23

Page 86: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

7. Ontwerp een XC3/GRAY codeomvormer, zonder onderdrukking van de verboden toestanden. De uit-gangen zijn laag actief.Wat verschijnt er op de uitgang bij een verboden ingangscombinatie?

A3 A2 A1 A0 B3 B2 B1 B0

0 0 1 10 1 0 00 1 0 1 A = XC3-woord.0 1 1 0 B = GRAY-woord.0 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 00 0 0 00 0 0 10 0 1 01 1 0 11 1 1 01 1 1 1

HEX A 3 A2 A1 A0 g f e d c b a0 0 0 0 01 0 0 0 12 0 0 1 03 0 0 1 14 0 1 0 05 0 1 0 16 0 1 1 07 0 1 1 18 1 0 0 09 1 0 0 1A 1 0 1 0B 1 0 1 1C 1 1 0 0D 1 1 0 1E 1 1 1 0F 1 1 1 1

DIGITALE TECHNIEKEN HOOFDSTUK 4 4. 24

Page 87: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Een comparator is een schakeling die twee n-bit woorden met elkaar vergelijkt. De meest voor de handliggende resultaten op een comparator zijn:

woord A > woord B.woord A < woord B.woord A = woord B.

Het symbool voor een 3-bit comparator kan als volgt omschreven worden:

Bovenaan staat de functie die de component uitoefent (COMParator). Per woord krijgt elke bit een volgor-denummer. 0 als LSB en 2 als MSB. De tussenliggende bits hebben eveneens een volgnummer, maarworden meestal niet benoemd. De accolade geeft immers aan dat deze bits bij elkaar horen.Elk woord krijgt een naam, bijvoorbeeld P en Q. De logische voorwaarde op de uitgang bepaalt wanneerdeze actief wordt.

1. 1-bit comparator.

Twee woorden, A en B, van elk één bit breed met elkaar vergelijken, levert volgende functies op:

De schakeling , uitgetekend met NOR-poorten, geeft het resultaat met een minimum aan IC's.

≥1

≥1

≥1

≥1

≥1

A>B

A<B

A=B

A

B

A B A < B A > B A = B0 0 0 0 10 1 1 0 01 0 0 1 01 1 0 0 1

( )

( )

( )

A B AB

A B AB

A B AB AB A B

< =

> =

= = + = ⊕

0

2

0

2

P<Q

P=Q

P>Q

COMP

P

Q

DIGITALE TECHNIEKEN HOOFDSTUK 5 5. 1

HOOFDSTUK 5Comparator.

Page 88: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

2. 2-bit comparator.

( )

( )

( )

A B A B A B B A A B

A B A B A B B A A B

A B A A B B A A B B A A B B A A B B

< = + +

> = + +

= = + + +

1 1 0 1 0 1 0 0

1 1 0 1 0 1 0 0

1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0

A = B A1,A0

00 01 11 10

B1,B0 00 E G G G K = (A < B)

01 K E G G G = (A > B)

11 K K E K E = (A = B)

10 K K G E

A1 A0 B1 B0 A < B A > B A = B0 0 0 0 0 0 10 0 0 1 1 0 00 0 1 0 1 0 00 0 1 1 1 0 00 1 0 0 0 1 00 1 0 1 0 0 10 1 1 0 1 0 00 1 1 1 1 0 01 0 0 0 0 1 01 0 0 1 0 1 01 0 1 0 0 0 11 0 1 1 1 0 01 1 0 0 0 1 01 1 0 1 0 1 01 1 1 0 0 1 01 1 1 1 0 0 1

A>B

A=B

A<B

B

A

DIGITALE TECHNIEKEN HOOFDSTUK 5 5. 2

Page 89: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Neemt de woordlengte toe, dan is het een onbegonnen taak de uitgangsvergelijkingen uit een waarheids-tabel te bepalen. Een 4-bit comparator geeft een tabel van 28 = 256 combinaties. Daarom gaan we reedsbij een 2-bit comparator de schakeling beschrijven volgens een andere logica en het resultaat vergelijkenmet deze uit de waarheidstabel. Dezelfde redenering kan u nadien doortrekken naar een n-bit comparator.

Het vergelijken van twee woorden gebeurt paarsgewijs bit per bit. Vergelijk An met Bn … A0 met B0.

A < B indien :

(A < B) = (A1 < B1) of ((A1 = B1) en (A0 < B0))= (A1 = 0 en B1 = 1) of (( A1 = 0 en B1 = 0 of A1 = 1 en B1 = 1) en (A0 = 0 en B0 = 1))

A > B indien :

(A > B) = (A1 > B1) of ((A1 = B1) en (A0 > B0))= (A1 = 1 en B1 = 0) of (( A1 = 0 en B1 = 0 of A1 = 1 en B1 = 1) en (A0 = 1 en B0 = 0))

A = B indien :

(A = B) = (A1 = B1) en (A0 = B0)= (A1 = 0 en B1 = 0 of A1 = 1 en B1 = 1) en ( A0 = 0 en B0 = 0 of A0 = 1 en B0 = 1)

Toon zelf het verband aan tussen bovenstaande vergelijkingen en deze uit de waarheidstabel.

Om de waarheidstabel te beperken, gaan we over op een gereduceerde waarheidstabel. Deze bevateveneens alle ingangscombinaties, maar wel onder een andere vorm.

Lees de tabel als volgt: toestand (*) zegt ons dat (A < B) indien (A1 < B1). De verhouding tussen A0 en B0is hierbij onbelangrijk.

A1 , B1 A0 , B0 A > B A < B A = BA1 > B1 x 1 0 0A1 < B1 x 0 1 0 (*)A1 = B1 A0 > B0 1 0 0A1 = B1 A0 < B0 0 1 0A1 = B1 A0 = B0 0 0 1

( )

( )

( )

A B A B A B B A A B

A B A B A B

A B A B A B B A A B

A B A B A B

A B A A B B

< = + +

= + ⊕

> = + +

= + ⊕

= =

1 1 0 1 0 1 0 0

1 1 1 1 0 0

1 1 0 1 0 1 0 0

1 1 1 1 0 0

1 0 1 00 1 0 1 0 1 0 1 0 1 0 1 0

1 1 0 0

+ + +

= ⊕ ⊕

A A B B A A B B A A B B

A B A B

( ) A B A B A B= = ⊕ ⊕1 1 0 0

( ) A B A B A B A B> = + ⊕1 1 1 1 0 0

( ) A B A B A B A B< = + ⊕1 1 1 1 0 0

DIGITALE TECHNIEKEN HOOFDSTUK 5 5. 3

Page 90: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3. 4-bit comparator.

Als logische vergelijking vinden we:

A < B indien :

(A < B) = (A3 < B3) of ((A3 = B3) en (A2 < B2)) of ((A3 = B3) en (A2 = B2) en (A1 < B1)) of((A3 = B3) en (A2 = B2) en (A1 = B1) en (A0 < B0))

A > B indien :

(A > B) = (A3 > B3) of ((A3 = B3) en (A2 > B2)) of ((A3 = B3) en (A2 = B2) en (A1 > B1)) of((A3 = B3) en (A2 = B2) en (A1 = B1) en (A0 > B0))

A = B indien :

(A = B) = (A3 = B3) en (A2 = B2) en (A1 = B1) en (A0 = B0)

4. De 74xx85 (4-bit magnitude comparator).

Een 4-bit comparator is als geïntegreerde schakeling verkrijgbaar onder de naam 74xx85. Naast de ge-kende ingangen zijn er tevens drie extra ingangen aanwezig met de naam ">", "<" en "=". Deze cascade-ingangen laten ons toe een comparator te realiseren met meer dan 4 bits.

0

30

3<=>

P<QP=QP>Q

COMP

P

Q

74…85

101213159

11141234

765

( ) A B A B A B A B A B= = ⊕ ⊕ ⊕ ⊕3 3 2 2 1 1 0 0

( ) A B A B A B A B A B A B A B A B A B A B A B> = + ⊕ + ⊕ ⊕ + ⊕ ⊕ ⊕3 3 3 3 2 2 3 3 2 2 1 1 3 3 2 2 1 1 0 0

( ) A B A B A B A B A B A B A B A B A B A B A B< = + ⊕ + ⊕ ⊕ + ⊕ ⊕ ⊕3 3 3 3 2 2 3 3 2 2 1 1 3 3 2 2 1 1 0 0

A3 , B3 A2 , B2 A1 , B1 A0 , B0 A > B A < B A = BA3 > B3 x x x 1 0 0A3 < B3 x x x 0 1 0A3 = B3 A2 > B2 x x 1 0 0A3 = B3 A2 < B2 x x 0 1 0A3 = B3 A2 = B2 A1 > B1 x 1 0 0A3 = B3 A2 = B2 A1 < B1 x 0 1 0A3 = B3 A2 = B2 A1 = B1 A0 > B0 1 0 0A3 = B3 A2 = B2 A1 = B1 A0 < B0 0 1 0A3 = B3 A2 = B2 A1 = B1 A0 = B0 0 0 1

DIGITALE TECHNIEKEN HOOFDSTUK 5 5. 4

Page 91: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

De waarheidstabel verduidelijkt de invloed van de cascade-ingangen.

Tot en met toestand 8 volgt de comparator de gekende logica. Zodra P = Q, bepalen de cascade-ingan-gen het uiteindelijke resultaat. Indien één van deze cascade-ingangen actief is, wordt de uitgang, met de-zelfde naam, actief (toestanden 9 … 11).Met drie cascade-ingangen zijn er 8 combinaties mogelijk. Drie daarvan zijn reeds besproken. De overigeworden in toestanden 12, 13 en 14 weergegeven. Deze zijn echter niet uit het symbool af te leiden!

Wensen we deze component als 4-bit comparator te gebruiken, dan moet de ingang "=" op 1 staan. Deoverige cascade-ingangen mogen don't care zijn (1 of 0, maar zeker niet laten loshangen!). Dan pas geeftde comparator een correct resultaat op zijn uitgangen.

4.1 De 74xx85 als comparator voor minder dan 4 bits.

Reeds vanaf een 2-bit comparator is het interessant één 74xx85 te gebruiken in plaats van meerderepoort-IC's. Wat de aansluiting van de 74xx85 betreft, moeten we ervoor zorgen dat de overbodige ingan-gen, paarsgewijs, op hetzelfde logische niveau staan.Als voorbeeld een 3-bit comparator. Logischerwijze sluiten we P3 en Q3 aan de massa. Dit is echter nietnoodzakelijk. Sluit P1 en Q1 aan op Ucc en de schakeling reageert nog altijd correct. Vergeet de juisteaansluiting van de cascade-ingangen niet.

0

30

3<=>

P<QP=QP>Q

COMP

P

Q

74…85

+5V

0

30

3<=>

P<QP=QP>Q

COMP

P

Q

74…85

+5V

10A010A0

13A1

12A1

9B09B0

14B1

11B1

7 A < B7 A < B

12

11

2

4

1

15

6 A = B6 A = B 5 A > B5 A > B

3234

15A2

13A2

1B2

14B2

of

Comparing inputs Cascading inputs Outputs ToestandP3,Q3 P2,Q2 P1,Q1 P0,Q0 > < = P>Q P<Q P=Q

P3>Q3 x x x x x x 1 0 0 1P3<Q3 x x x x x x 0 1 0 2P3=Q3 P2>Q2 x x x x x 1 0 0 3P3=Q3 P2<Q2 x x x x x 0 1 0 4P3=Q3 P2=Q2 P1>Q1 x x x x 1 0 0 5P3=Q3 P2=Q2 P1<Q1 x x x x 0 1 0 6P3=Q3 P2=Q2 P1=Q1 P0>Q0 x x x 1 0 0 7P3=Q3 P2=Q2 P1=Q1 P0<Q0 x x x 0 1 0 8P3=Q3 P2=Q2 P1=Q1 P0=Q0 1 0 0 1 0 0 9P3=Q3 P2=Q2 P1=Q1 P0=Q0 0 1 0 0 1 0 10P3=Q3 P2=Q2 P1=Q1 P0=Q0 0 0 1 0 0 1 11

P3=Q3 P2=Q2 P1=Q1 P0=Q0 x x 1 0 0 1 12P3=Q3 P2=Q2 P1=Q1 P0=Q0 1 1 0 0 0 0 13P3=Q3 P2=Q2 P1=Q1 P0=Q0 0 0 0 1 1 0 14

DIGITALE TECHNIEKEN HOOFDSTUK 5 5. 5

Page 92: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Wensen we andere vergelijkingen dan >, < en = uit te voeren op woorden A en B, dan gebruiken we bestde comparatoruitgangen, al dan niet in combinatie met de ingangen, als vertrekpunt.Als voorbeeld nemen we een 3-bit comparator met als uitgangen:

F1 = 1 indien A ≥ B.F2 = 1 indien A = B en beide getallen zijn even .F3 = 0 indien A > B.

Voor F1 en F3 volstaan de comparatoruitgangen. F2 daarentegen is eveneens afhankelijk van de ingan-gen. Een getal is even indien de LSB = 0.

0

30

3<=>

P<QP=QP>Q

COMP

P

Q

74…85

+5V

1

1

1&

& 112A1

9B0 11B1

7

A < B

1

15

234

13A2

14B2

10A0

5

A > B

F3

F1

6 A = B

F2

F2 A<B,A>B00 01 11 10

A =B, A0 00 - -

01 - -

11 - - -

10 1 - - -

F A B A B

F A B A

F A B A B A B

1

2 0

3

= = + >

= =

= = + < = >

( ) ( )

( )

( ) ( ) ( )

F1 A<B,A>B F3 A<B,A>B00 01 11 10 00 01 11 10

A =B 0 - 1 - A =B 0 - 0 - 1

1 1 - - - 1 1 - - -

DIGITALE TECHNIEKEN HOOFDSTUK 5 5. 6

Page 93: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

4.2 De 74xx85 als comparator voor meer dan 4 bits.

Door meerdere 74xx85 IC's in serie te plaatsen, kan ieder gewenst aantal bits met elkaar vergeleken wor-den. Als voorbeeld geven we het schema van een 8-bit comparator.

COMP2 vergelijkt de minst beduidende bits met elkaar. Het resultaat van deze bewerking wordt verbon-den met cascade-ingangen van COMP1. COMP1 vergelijkt de meest beduidende bits van beide woorden.Neem bijvoorbeeld code:

A = 1011 0100 en B = 1010 0110.COMP1 vergelijkt de meest beduidende bits. Uit de waarheidstabel van de 74xx85 blijkt dat de schakelingin toestand 7 staat. Op de uitgangen verschijnt P>Q = 1 dus A>B. De uitgangen van COMP2 (= cascade-ingangen van COMP1) zijn hierbij onbelangrijk. Volledigheidshalve geven we ook de toestand vanCOMP2, namelijk P<Q = 1 (toestand 6).

A = 0010 1100 en B = 0010 0110.Op COMP1 is A7 … A4 = B7 … B4 (Toestand 9 … 14). De cascade-ingangen, ofwel de uitgangen vanCOMP2, bepalen de juiste toestand van COMP1. COMP2 staat in toestand 1. Dus P>Q = 1. COMP1 komt hierdoor in toestand 9 en zegt ons dat P>Q = 1ofwel A>B.

A = 0110 1101 en B = 0110 1101.COMP2 staat in toestand 11 (of 12), dus (P=Q) = 1. COMP1 staat eveneens in toestand 11. Resultaat:(P=Q) = 1 of A=B.

Ook hier kunnen we de schakeling uitbreiden met extra poorten om andere vergelijkingen op A en B uit tevoeren.

0

30

3<=>

P<QP=QP>Q

COMP

P

Q

74…85

0

30

3<=>

P<QP=QP>Q

COMP

P

Q

74…85

+5V

7

2

6

3

5

4

32

4

10A0 12A1 13A2 15A3

10A4 12A5 13A6 15A7

9B0 11B1 14B2 1B3

9B4 11B5 14B6 1B7

5 A > B6 A = B7 A < B

(COMP1)

(COMP2)

DIGITALE TECHNIEKEN HOOFDSTUK 5 5. 7

Page 94: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

5. Toepassingen.

1. Ontwerp een 2-bit comparator met poorten. E : A = BNE : A ≠ BKE : A ≤ B

2. Stel de logische vergelijkingen op voor een 3-bit comparator.Pas de vergelijkingen aan voor een 3-bit comparator met laag actieve uitgangen.

3. Herhaal toepassing 1, met als basis een 74xx85.

4. Ontwerp een 3-bit comparator met als uitgangen: F1 : A>B en daarbij moet A≥4 zijn.F2 : A=B en groter dan 2.

5. Vervolledig het schema voor een 10-bit comparator (A9 … A0 en B9 … B0).

0

30

3<=>

P<QP=QP>Q

COMP

P

Q

74…85

0

30

3<=>

P<QP=QP>Q

COMP

P

Q

74…85

0

30

3<=>

P<QP=QP>Q

COMP

P

Q

74…85

101213159

11141

4

765

32

101213159

11141

4

765

32

101213159

11141

4

765

32

0

30

3<=>

P<QP=QP>Q

COMP

P

Q

74…85

101213159

11141234

765

0

30

3<=>

P<QP=QP>Q

COMP

P

Q

74…85

101213159

11141234

765

DIGITALE TECHNIEKEN HOOFDSTUK 5 5. 8

Page 95: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

6. Vervolledig onderstaande tabel voor een 8-bit comparator.

* toest: zie tabel p 5.5.

7. Vervolledig de schakeling tot een 6-bit comparator met als uitgang F = (A>B) en (A≥30HEX).

0

30

3<=>

P<QP=QP>Q

COMP

P

Q

74…85

0

30

3<=>

P<QP=QP>Q

COMP

P

Q

74…85

101213159

11141

4

765

32

101213159

11141

4

765

32

Ingangen UitgangenCasc. inp. COMP2 woorden A en B COMP2 COMP1

> < = P>Q P<Q P=Q toest. P>Q P<Q P=Q toest.

0 0 1 A B

1011 10101010 1010

0 0 1 A B

0111 01110111 1100

0 0 1 A B

0001 00110001 0011

1 0 0 A B

0001 00110001 0011

1 1 1 A B

0100 10110100 1011

0

30

3<=>

P<QP=QP>Q

COMP

P

Q

74…85

0

30

3<=>

P<QP=QP>Q

COMP

P

Q

74…85

7

2

6

3

5

4

34

2

10A0 12A1 13A2 15A3

10A4 12A5 13A6 15A7

9B0 11B1 14B2 1B3

9B4 11B5 14B6 1B7

5 A > B6 A = B7 A < B

(COMP2)

(COMP1)

Casc. inp. COMP2

DIGITALE TECHNIEKEN HOOFDSTUK 5 5. 9

Page 96: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Een multiplexer (MUX) is een combinatorische schakeling die de data op één van de ingangen naar deuitgang doorverbindt. Het adres bepaalt welke ingang er met de uitgang wordt verbonden.Een demultiplexer (DMUX) werkt in de andere richting. Deze schakeling heeft meerdere uitgangen. Dekeuze van de uitgang wordt eveneens bepaald door een adres.Het toepassingsgebied van de multiplexer is zeer uitgebreid. Op de eerste plaats is deze component ge-schikt als een meerkeuzeschakelaar voor digitale signalen . Daarnaast kan hij dienen ter vervanging vanpoorten bij het ontwerpen van logische functies, code-omvormers enz.

Multiplexer.Links bovenaan het multiplexersymbool ziet u de adresingangen (0 = LSB en 1 = MSB). Het bitgroepe-ringssymbool geeft de AND-afhankelijkheid van deze ingangen. Is het adres A = 10 = (2)10, dan wordt G2

actief. Deze verbindt D2 met de uitgang F. Meestal heeft een multiplexer eveneens de inverse functie opzijn uitgangen.De EN-ingang (ENable) kan u beschouwen als een aan/uit schakelaar. De schakeling vervult zijn functieindien de enable actief staat (0 op ons symbool). Is de enable niet actief, dan blijft uitgang F constant op 0staan, onafhankelijk van de aangelegde ingangscombinaties (F' is constant 1). Het tegengestelde vanenable noemt men disable.Als waarheidstabel vinden we:

Demultiplexer.De demultiplexer heeft eveneens een aantal adresingangen en een enable. De geselecteerde uitgangwordt 1 bij een actieve enable.

EN A1 A0 F0 F1 F2 F3

1 x x 0 0 0 00 0 0 1 0 0 00 0 1 0 1 0 00 1 0 0 0 1 00 1 1 0 0 0 1

EN A1 A0 F F'1 x x 0 1 disable0 0 0 D0 D0'0 0 1 D1 D1' enable0 1 0 D2 D2'0 1 1 D3 D3'

MU X01

0123

EN

03_G DMU X

01

0123

EN

03_GA0

A0

F0F1F2F3EN

EN

D0D1D2

A1

A1

D3

F

F'

DIGITALE TECHNIEKEN HOOFDSTUK 6 6. 1

HOOFDSTUK 6Multiplexer en demultiplexer.

Page 97: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

1. 4 naar 1 multiplexer.

De vergelijkingen van deze MUX worden aan de hand van de waarheidstabel opgesteld.

De schakeling bevat zeven ingangen, vandaar dat we de vergelijkingen best rechtstreeks trachten af teleiden.

F = 1 als EN=0 en adres=0 en D0=1 of EN=0 en adres=1 en D1=1 ofEN=0 en adres=2 en D2=1 of EN=0 en adres=3 en D3=1

2. 1 naar 4 demultiplexer.

F0 … F3 kunnen nu wel met een Karnaughkaart worden vereenvoudigd, maar logisch redeneren gaat hierzeker zo snel. F0 = 1 indien EN=0 en adres=0. F1 = 1 indien EN=0 en adres=1. F2 = 1 indien EN=0 enadres=2. F3 = 1 indien EN=0 en adres=3.

EN A1 A0 F0 F1 F2 F3

1 x x 0 0 0 00 0 0 1 0 0 00 0 1 0 1 0 00 1 0 0 0 1 00 1 1 0 0 0 1

1

1

1

&

&

&

&

≥1

1

EN

D0D1D2

A1

A0

D3

F

F'

F EN A A D A A D A A D A A D

F F

( )

'

= + + +

=

1 0 0 1 0 1 1 0 2 1 0 3

EN A1 A0 F F'1 x x 0 10 0 0 D0 D0'0 0 1 D1 D1'0 1 0 D2 D2'0 1 1 D3 D3'

DIGITALE TECHNIEKEN HOOFDSTUK 6 6. 2

Page 98: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3. Ontwerpen van logische functies.

Alle logische functies kan men opbouwen rond multiplexers, ter vervanging van de poorten. Het aantaladresingangen van de multiplexer is in eerste instantie gelijk aan het aantal veranderlijken van de functie.Een andere mogelijkheid bestaat eruit het aantal adresingangen kleiner te nemen dan het aantal veran-derlijken. Hierdoor ontstaan schakelingen met restveranderlijken . Meestal worden er dan wel enkelepoorten aan de schakeling toegevoegd.

3.1 Functiegenerator zonder restveranderlijken.

De functieveranderlijken sturen rechtstreeks de adresingangen van de multiplexer. Zij bepalen welke in-gang er met de uitgang verbonden wordt. De functie zelf bepaalt de informatie op de data-ingangen (0 of1). Als voorbeeld nemen we een ingevulde waarheidstabel en bijhorende Karnaughkaart als vertrekpuntvoor het ontwerp.

Vooreerst kiezen we per adresingang één veranderlijke. Zij bepalen de volgorde waarin de data-ingangengeselecteerd worden. Wij nemen A als LSB van het adres en C als MSB. Vervolgens gaan we over naarde waarheidstabel of de Karnaughkaart. Eén van beiden volstaat in principe om de schakeling uit te wer-ken.

F B AC AC = + +

F0

F1

F2

F3

A0

A1

EN

1

1

1

&

&

&

&

F0

F1

F2

F3

A0

A1

EN

F EN A A F EN A A

F EN A A F EN A A

0 1 0 2 1 0

1 1 0 3 1 0

= =

= =

DIGITALE TECHNIEKEN HOOFDSTUK 6 6. 3

Page 99: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Lees de verschillende ingangscombinaties als een decimaal getal. Dit getal komt overeen met de gese-lecteerde data-ingang. CBA = 011 = (3)10, dus D3 wordt bij deze combinatie geselecteerd.

Lees in de tabel af welke logische waarde bij elke data-ingang hoort (D3 = 1) en vervolledig de schake-ling.

Een analoge oplossing vinden we via de Karnaughkaart.

Elke cel duidt één bepaalde data-ingang aan. Lees de bijhorende toestand af en vervolledig het schema.

F B,A B,A00 01 11 10 00 01 11 10

C 0 1 1 1 C 0 D0 D1 D3 D2

1 1 1 1 1 D4 D5 D7 D6

F

A

B

C

7 4 … 1 5 1

MUX0

2

012

4

6

3

5

7

EN

07_ G

+5V

2

12

7

6

5 F11A10B9C

1314151

34

C B A F geselecteerde data-ingang.0 0 0 1 D0

0 0 1 1 D1

0 1 0 0 D2

0 1 1 1 D3

1 0 0 1 D4

1 0 1 1 D5

1 1 0 1 D6

1 1 1 0 D7

DIGITALE TECHNIEKEN HOOFDSTUK 6 6. 4

Page 100: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Een andere combinatie op de adresingangen geeft een totaal ander schema. Neem B als MSB en A alsLSB, dan wordt dit:

Zoals blijkt uit de simulatie, geven beide schema's dezelfde functie F weer.

Zeer belangrijk : kies eerst de adresverbindingen en bepaal dan pas de informatie op de verschillendedata-ingangen.

Ga zelf de logica na op het tweede voorbeeld. Hier wordt gebruik gemaakt van een onvolledige Kar-naughkaart. Voor een don't care kan u dus kiezen tussen 0 en 1. Meestal wordt hiervoor het niveau vaneen naastliggende IC-pin genomen om overbodige, soms lange verbindingen te vermijden.

F

A

B

C

7 4 … 1 5 1

MUX0

2

012

4

6

3

5

7

EN

07_ G

+5V

2

1314

1

34

6

5

11A10C9B

15

12

7

F

F B,A B,A00 01 11 10 00 01 11 10

C 0 1 1 1 C 0 D0 D1 D5 D4

1 1 1 1 1 D2 D3 D7 D6

C B A F1 geselecteerde data-ingang.0 0 0 1 D0

0 0 1 1 D1

0 1 0 0 D4

0 1 1 1 D5

1 0 0 1 D2

1 0 1 1 D3

1 1 0 1 D6

1 1 1 0 D7

DIGITALE TECHNIEKEN HOOFDSTUK 6 6. 5

Page 101: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3.2 Functiegenerator met 1 restveranderlijke.

Eén van de functieveranderlijken wordt niet als adresingang gebruikt. Deze noemen we de restverander-lijke . De restveranderlijke bepaalt mee de toestand op de data-ingangen.Als voorbeeld werken we een functie met vier veranderlijken uit. C, B en A bepalen het adres (C = MSBen A = LSB). D is de restveranderlijke.

Met één restveranderlijke wordt elke data-ingang telkens tweemaal geselecteerd binnen de waarheidsta-bel en de Karnaughkaart. Bepaal de vergelijking voor elke data-ingang in functie van de restveranderlijkeD.D0 is twee maal 0 dus D0 = 0. D3 is twee maal 1 dus D0 = 1. D1 is veranderlijk. In functie van de restver-anderlijke vinden we: D1 = D. …

D C B A F0 0 0 0 0 D0

0 0 0 1 0 D1

0 0 1 0 0 D2

0 0 1 1 1 D3

0 1 0 0 1 D4

0 1 0 1 1 D5

0 1 1 0 1 D6

0 1 1 1 1 D7

1 0 0 0 0 D0

1 0 0 1 1 D1

1 0 1 0 0 D2

1 0 1 1 1 D3

1 1 0 0 1 D4

1 1 0 1 1 D5

1 1 1 0 0 D6

1 1 1 1 0 D7

F B,A00 01 11 10

D,C 00 1

01 1 1 1 1

11 1 1

10 1 1

B,A

00 01 11 10

D,C 00 D0 D1 D3 D2

01 D4 D5 D7 D6

11 D4 D5 D7 D6

10 D0 D1 D3 D2

7 4 … 1 5 1

MUX0

2

012

4

6

3

5

7

EN

07_ G

+5V

2

13

1

34

1415

12

7

6

510C9A

11BFF BA

00 01 11 10

C 0 x 1

1 x 1 1

DIGITALE TECHNIEKEN HOOFDSTUK 6 6. 6

Page 102: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Een oplossing met één restveranderlijke voegt hoogstens één invertor toe aan de multiplexer. Een goedontwerper gaat alle mogelijkheden van de restveranderlijken na. Zo vindt hij dat A als restveranderlijke deideale oplossing oplevert. De schakeling bevat hierbij geen invertoren.

In bepaalde toepassingen is de multiplexer een goed alternatief ter vervanging van de poorten. In boven-staand schema vinden we één multiplexer-IC tegenover drie poort-IC's.

F CB DC DBA CBA =

7 4 … 1 5 1

MUX0

2

012

4

6

3

5

7

EN

07_ G

+5V

6

5

11D 10C 9B

3

1514A

21

13

4

12

7

F

F

A

B

C

D

7 4 … 1 5 1

MUX0

2

012

4

6

3

5

7

EN

07_ G

+5V

1

6

5 F11A

9C

10B

1312

11514

3

D

2

4

7

DIGITALE TECHNIEKEN HOOFDSTUK 6 6. 7

Page 103: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3.3 Functiegenerator met 2 restveranderlijken.

Twee van de functieveranderlijken bepalen mee de datavergelijking. De overigen bepalen het adres.In volgend voorbeeld maken we gebruik van een 74xx153. Deze IC bevat twee multiplexers met een ge-zamenlijke adresselectie. Dit lezen we af uit het bovenste gedeelte van het symbool, ook wel besturings-blok genoemd. Beide multiplexers zijn verder identiek, vandaar dat enkel de eerste multiplexer volledigwordt beschreven. Het lege blok reageert dus identiek aan het bovenstaande.

Met twee restveranderlijken komt elke dataselectie viermaal voor. Nemen we A en B als restveranderlijkeen D als MSB van het adres, dan vinden we onderstaande logica.

Elke data-ingang staat in functie van de restveranderlijken A en B. Stel een Karnaughkaart op om de ver-gelijking op elke data-ingang af te leiden.

D AB D A B

D A D A

0 2

1 3

= = += =

D0 A D1 A D2 A D3 A0 1 0 1 0 1 0 1

B 0 B 0 1 B 0 1 B 0 1

1 1 1 1 1 1 1 1 1

D C B A F0 0 0 0 0 D0

0 0 0 1 0 D0

0 0 1 0 0 D0

0 0 1 1 1 D0

0 1 0 0 0 D1

0 1 0 1 1 D1

0 1 1 0 0 D1

0 1 1 1 1 D1

1 0 0 0 1 D2

1 0 0 1 0 D2

1 0 1 0 1 D2

1 0 1 1 1 D2

1 1 0 0 0 D3

1 1 0 1 1 D3

1 1 1 0 0 D3

1 1 1 1 1 D3

F B,A00 01 11 10

D,C 00 1

01 1 1

11 1 1

10 1 1 1

B,A

00 01 11 10

D,C 00 D0 D0 D0 D0

01 D1 D1 D1 D1

11 D3 D3 D3 D3

10 D2 D2 D2 D2

DIGITALE TECHNIEKEN HOOFDSTUK 6 6. 8

Page 104: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Ook hier is de keuze van de restveranderlijken bepalend voor het aantal extra IC's. De ideale oplossingvinden we met D en B als restveranderlijken.

Let op : niet alle schakelingen kan men oplossen zonder extra poorten, zoals in de aangehaalde voorbeel-den.

Een multiplexerschakeling met meerdere restveranderlijken zijn eveneens mogelijk. Voor n restverander-lijken wordt binnen één waarheidstabel, of Karnaughkaart, elke data-ingang 2n maal geselecteerd.Het oplossen van dergelijke schakelingen verloopt analoog aan bovenstaande theorie voor twee restver-anderlijken.

MUX

G

7 4 … 1 5 3

01

03_

0123E

+5V

1011121315

14A

6D

2C

7

9

5B 4

13

F

F

A

B

C

D

MUX

G

7 4 … 1 5 3

01

03_

0123E

& &

&&

1

1011121315

14C 2D

7 F

9

6B

3

5A 4

DIGITALE TECHNIEKEN HOOFDSTUK 6 6. 9

Page 105: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

4. Ontwerpen van codeomvormers.

Een codeomvormer is in principe niet meer dan het oplossen van een aantal logische vergelijkingen. Be-schouw het als een toepassing op punt 3.

Als voorbeeld werken we een BCD/AIKEN codeomvormer uit met twee restveranderlijken op een multi-plexer. Hiervoor volstaan twee 74xx153 IC's.A beschrijft het BCD-cijfer en B het AIKEN-cijfer.

Neem A2 en A3 als restveranderlijken. Elke data-ingang heeft minstens één verboden toestand, wat hetaantal poorten drastisch kan verlagen.

Als vergelijkingen op de data-ingangen vinden we:

B1 A1,A0 B0 A1,A0

00 01 11 10 00 01 11 10

A3,A2 00 1 1 A3,A2 00 1 1

01 1 01 1 1

11 - - - - 11 - - - -

10 1 1 - - 10 1 - -

B3 A1,A0 B2 A1,A0

00 01 11 10 00 01 11 10

A3,A2 00 A3,A2 00

01 1 1 1 01 1 1 1

11 - - - - 11 - - - -

10 1 1 - - 10 1 1 - -

A3 A2 A1 A0 B3 B2 B1 B0

0 0 0 0 0 0 0 00 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 1 1 0 0 1 10 1 0 0 0 1 0 00 1 0 1 1 0 1 10 1 1 0 1 1 0 00 1 1 1 1 1 0 11 0 0 0 1 1 1 01 0 0 1 1 1 1 1

DIGITALE TECHNIEKEN HOOFDSTUK 6 6. 10

Page 106: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

B0

B1

B2

B3

A0

A1

A2

A3

MUX

G

7 4 … 1 5 3

01

03_

0123E

&

&

&

MUX

G

7 4 … 1 5 3

01

03_

0123E+5V

9 B2

14

14A0

6

11

6

A3

A2

7 B3

2

2A1

5

1312

10

345

34

12

10

1

15

1

15

7 B1

9 B013

11

B D A B D A A

D A A D A

D A A D A A

D A A D A A

B D A B D

D A A D

3 0 3 2 0 3 2

1 3 2 1 3

2 3 2 2 3 2

3 3 2 3 3 2

1 0 3 0 0

1 3 2 1

0

: :

( ) ( )

( ) ( )

: :

= = += + == + = += + = +

= == + =

1

0

1

2 2 2

3 2 3

D A D

D A D

= =

= =

DIGITALE TECHNIEKEN HOOFDSTUK 6 6. 11

Page 107: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

5. Elementaire datacommunicatie.

5.1 Basisprincipe.

Datacommunicatie, over lange afstanden, geschiedt meestal volgens een serieel protocol. Denk hierbijaan computernetwerken, de verbinding tussen de computer en een printer, enz…Voor een 4-bit woord betekent dit dat de zender bit na bit serieel op de transmissielijn plaatst met eenmultiplexer. De ontvanger zet de binnenkomende informatie om van serieel naar parallel langs een de-multiplexer.

Om een perfecte communicatie mogelijk te maken, moeten zowel zender als ontvanger gelijktijdig hetzelf-de adres selecteren. Dit gebeurt door middel van gesynchroniseerde tellers op de adressen aan te slui-ten.

5.2 4-bit brede seriële synchrone datatransmissie.

De zender stuurt met behulp van een synchrone teller (74xx161) en een MUX (74xx153) 4 bits na elkaarop de transmissielijn. Het toetsenbordje zet een hex-code op de 4 data-ingangen van de MUX.

De ontvanger leest de verzonden bits en zet deze vervolgens op een parallelle bus. Hiervoor gebruikenwe de demultiplexer (74xx139). Zodra de DMUX van toestand verandert, gaat de informatie op de voor-heen geselecteerde uitgang verloren. Is met andere woorden uitgang 0 geselecteerd, dan zijn alle andereuitgangen hoog en bevatten dus geen nuttige informatie.Om de toestand van alle DMUX uitgangen te bewaren, gaan we deze in een geheugencel brengen. Hier-voor zorgen de D-latchs (74xx75). De NOR-poorten, tussen de DMUX en de latch, zorgen ervoor dat deverschillende bits op het juiste moment worden opgeslagen. Het meest betrouwbare sample-moment valthalfweg de stabiele tijd van elke bit.

Verder moeten we ervoor zorgen dat beide tellers, in de zender en de ontvanger, volledig synchroon lo-pen. Vandaar dat zowel de klok (kloksynchronisatie) als het resetsignaal (framesynchronisatie) mee ver-stuurd worden. De volledige transmissielijn bevat vier geleiders. Eén voor het kloksignaal, één reset, één datageleider eneen gemeenschappelijke massa.

MUX0

2

012

4

6

3

5

7

EN

07_ G

DMUX

0

2

012

4

6

3

5

7

EN

07_ G

Parallel

Serieel

Serieel

Parallel

DIGITALE TECHNIEKEN HOOFDSTUK 6 6. 12

Page 108: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

MUX

G

7 4 … 1 5 3

01

03_

0123E

1

0 1 2 34 5 6 78 9 A BC D E FStrobe

7 4 . . . 1 6 1

CTRDIV16CT=0M1

G3G4C5/2,3,4+

3CT=15

1,5D

M2

[1]

[2]

[4]

[8]

+5V

7 4 … 1 3 9

0DEMUX

10123

G 03_

5

7 4 . . . 1 6 1

CTRDIV16CT=0M1

G3G4C5/2,3,4+

3CT=15

1,5D

M2

[1]

[2]

[4]

[8]

+5V

≥1

≥1

≥1

≥1

S

1DR

C1

7 4 … 7

S

1DR

C1

7 4 … 7

+5V

+5V

6543

7

9

15

Data

1

15

10D011D112D213D3

3

4

5

6

12

11

15

9

12

B310

2

B2

13

3

13

SO1

11

12

B1

14

2

14 SO0

12

2

B0

1

3

4

5

6 11

13

2SZ1

14

14SZ0

12

Reset

15

4 A05 A1

11EL1

6 A27 A3

2

2

Klok

6

3EL0

8

3EL2

11EL3

6

813

10

1

4

13

10

1

4

9

710

710

9 5 L0

9 L1

5 L2

9 L3

1

1

InvReset

TRANSMISSIELIJN

ZENDER

ONTVANGER

DIGITALE TECHNIEKEN HOOFDSTUK 6 6. 13

Page 109: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

6. Overwakingssysteem.

Het overwakingssysteem registreert een alarmtoestand, gemeten op maximum 8 verschillende plaatsen(SENS 0 … SENS 7). Bij een eventueel alarm geeft de sensor een logische 1, in het andere geval een 0.Om bedrading te sparen plaatsen we de verschillende sensoren in een busstructuur (alle data serieel overéén lijn). Elke sensor krijgt een uniek adres toegewezen. Met behulp van een 3-bit teller wordt achtereen-volgens elke toestand van de sensor gemeten en op een LED-indicatie weergegeven (LED aan betekentalarm).

Elke sensor zet zijn data via een NAND-poort, met open collector, op de bus. Een multiplexer bepaalt hetadres dat bij de sensor hoort. SENS 1 wordt gemeten tijdens adres 001. Dan geeft de multiplexer een 1op zijn uitgang en enabled hierdoor de NAND-poort. De informatie van de sensor komt hierbij, invers, opde datalijn. Bij alle andere adrescombinaties geeft de multiplexer van SENS 1 een 0 uit. De bijhorendeNAND-poort geeft steeds een hoogimpedante toestand.

200

L3

L2

L1

L0

EL3

EL2

EL1

EL0

B3

B2

B1

B0

A3

A2

A1

A0

SO1

SO0

Data

D3

D2

D1

D0

SZ1

SZ0

InvReset

Reset

Klok

DIGITALE TECHNIEKEN HOOFDSTUK 6 6. 14

Page 110: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

MUX0

2

012

4

6

3

5

7

EN

07_ G &

01

+5V

MUX0

2

012

4

6

3

5

7

EN

07_ G &

01

+5V

MUX0

2

012

4

6

3

5

7

EN

07_ G &

01

+5V

DMUX

0

2

012

4

6

3

5

7

EN

07_ G

+

RCTR

0

3CT+

DIV16

+5V

A0

A0

A0

A0

A1

A1

A1

A1

L1L2

A2

A2

A2

A2

L7

D

L0

SENS 1

SENS 7

SENS 0

SENS 0 SENS 1 SENS 7……

decoderLED SENS 0 (L0)

LED SENS 1 (L1)

LED SENS 7 (L7)

……

datalijn Dadresbus (3 draden A2 … A0)voeding (2 draden)

DIGITALE TECHNIEKEN HOOFDSTUK 6 6. 15

Page 111: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Het uitlezen van de sensoren gebeurt langs een demultiplexer. De datalijn stuurt rechtstreeks de enable.Staat SENS 1 in alarmtoestand ( = 0 op datalijn), dan komt bij adres 001 uitgang L1 hoog en licht de LEDop. Geeft SENS 1 geen alarm, dan blijft de uitgang 0.Bij elk ander adres wordt L1 niet geactiveerd en blijft dus eveneens 0.In het schema zijn maar drie van de acht adressen benut. Alle niet-gebruikte adressen geven een continugedoofde LED (zie L2).

Merk op dat bij een alarmtoestand van een sensor de bijhorende LED flikkert. De LED gaat enkel aan opde momenten dat het juiste adres geselecteerd wordt. Vandaar dat we best met een hoge klokfrequentieop tellen. Het flikkerend effect wordt hierbij door het oog niet meer waargenomen.

Het schema kan eventueel verfijnd worden door achter de demultiplexeruitgangen een flipflop (= 1-bit ge-heugen) te plaatsen. Verder kan de adresbus vervangen worden door een klok- en resetsignaal. Elkesensor moet dan wel over een eigen teller beschikken.

7. Toepassingen.

1. Vervolledig de schema's voor onderstaande functie.

D C B A F fig1 fig2 fig3

0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1

F B,A00 01 11 10

D,C 00

01

11

10

F DC CB A DB DCB ( )= + +

L7

L2

L1

L0

D

A0

A1

A2

DIGITALE TECHNIEKEN HOOFDSTUK 6 6. 16

Page 112: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

MUX

G

7 4 … 1 5 3

01

03_

0123E

7 F65431

1011121315

14C 2B

9

fig 3

MUX

G

7 4 … 1 5 3

01

03_

0123E

7 F65431

1011121315

14A 2B

9

fig 2

7 4 … 1 5 1

MUX0

2

012

4

6

3

5

7

EN

07_ G

4321

15141312

11D 10B 9C

7

6

5 F

fig 1

DIGITALE TECHNIEKEN HOOFDSTUK 6 6. 17

Page 113: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

2. Zoek zelf de beste aansluitcombinatie voor volgende functie.

MUX

G

7 4 … 1 5 3

01

03_

0123E

65431

1011121315

9

7 G

142

fig 2

7 4 … 1 5 1

MUX0

2

012

4

6

3

5

7

EN

07_ G

4321

15141312

7

6

11

9 5 G10

fig 1

D C B A G fig1 fig2

0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1

G B,A00 01 11 10

D,C 00

01

11

10

G C AD DC AB ( )= +

DIGITALE TECHNIEKEN HOOFDSTUK 6 6. 18

Page 114: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Een adder is een combinatorische schakeling waarmee we 2 n-bit woorden met elkaar optellen.

1 1 overdracht of carry(+15)10 0 0 1 1 1 1 woord A

+ (+12)10 + 0 0 1 1 0 0 woord B

(+27)10 0 1 1 0 1 1 som S

De optelling van twee n-bit woorden geeft als resultaat n sombits. Tijdens de bewerking houden we even-eens rekening met de overdrachtbits of carrys. Zo geeft A0 + B0 ons S0 en een carry van 0. Deze noemenwe carry-out (Co0). Deze Co0 is tevens de carry-in van de volgende bits (Ci1).

Co n-1 = Ci n .

Vooreerst gaan we een 2 x 1-bit adder samenstellen. Vervolgens worden deze bouwstenen verwerkt ineen 4-bit adder.Verder gaan we o.a. na hoe een adder eveneens kan verwerkt worden bij het verschil en de vermenigvul-diging van twee binaire getallen.

1. 2 x 1-bit opteller.

1.1 Half adder.

Een half adder telt twee bits (A en B) op en geeft als resultaat een sombit (S) en een carry-out (Co).Voor de optelling geldt:

Zodra de op te tellen woorden meer dan één bit breed zijn, volstaat een half adder niet. De optelling moetimmers rekening houden met de carrys die ontstaan.De 2 x 1-bit opteller die rekening houdt met de carry-in, noemen we een full adder of volledige opteller.

1.2 Full adder.

De full adder telt drie bits op (A, B en Ci) en geeft als resultaat een som en een carry-out. De logische vergelijkingen die hierbij ontstaan verwijzen duidelijk naar een combinatieschakeling van half

adders. Ga zelf het verband tussen de full adder en de half adders na.

B A S Co0 0 0 00 1 1 01 0 1 01 1 0 1

=1

&

∑∑∑∑

Co

P

Q

A

BS

Co

A

B

S

Co=

DIGITALE TECHNIEKEN HOOFDSTUK 7 7. 1

HOOFDSTUK 7Adders.

Page 115: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

2. Principeschakeling van een 4-bit opteller.

De 4-bit opteller is een samengestelde schakeling van vier full adders. Verbindt hierbij de Ci van de minstbeduidende full adder met de massa. De carry-out stuurt de carry-in van de hoger gelegen full adder.De meestbeduidende carry-out (Co op het schema) dient als overloop-indicator.

∑∑∑∑

Co

P

Q

Ci

∑∑∑∑

Co

P

Q

Ci

∑∑∑∑

Co

P

Q

Ci

∑∑∑∑

Co

P

Q

CiCo

B0

B1

B2

B3

A0 S0

A1 S1

A2 S2

A3 S3

Co2

Co1

Co0Ci

Co

S0

S1

S2

S3

B0

B1

B2

B3

A0

A1

A2

A3

A+B = 6+1 A+B = 7+1

=1

&

=1

&≥1

∑∑∑∑

Co

P

Q

Ci

A

B

S

Co

Ci

A

B

S

Co=

Ci

Ci B A S Co0 0 0 0 00 0 1 1 00 1 0 1 00 1 1 0 11 0 0 1 01 0 1 0 11 1 0 0 11 1 1 1 1

S ABCi ABCi ABCi ABCi

A B Ci

Co ABCi ABCi ABCi ABCi

AB Ci A B

= + + += ⊕ ⊕

= + + += + ⊕

( )

DIGITALE TECHNIEKEN HOOFDSTUK 7 7. 2

Page 116: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Merk op dat alle uitgangen een verschillende tijdsvertraging bezitten. Het resultaat op S2 moet immerswachten op Co1 voordat het resultaat stabiel wordt. Stellen we dat de vertragingstijden van elke poort bin-nen de full ader 10 ns bedraagt, dan zijn de verschillende looptijden als volgt:

Elke extra sombit levert een bijkomende vertraging op van 20 ns. Deze structuur volgt het ripple carryprincipe. Dit nadeel wordt grotendeels opgevangen door de inwendige structuur van de schakeling aan tepassen. In plaats van de sombit afhankelijk te maken van de voorgaande carry, gaan we deze recht-streeks naar de ingangen A en B transformeren.

Voor de verschillende carrys geldt:

Co A B Ci A B S A B Ci

Co A B Co A B S A B Co

Co A B Co A B S A B Co

Co A B Co A B S

0 0 0 0 0 0 0 0

1 1 1 0 1 1 1 1 1 0

2 2 2 1 2 2 2 2 2 1

3 3 2 3 3

= + ⊕ = ⊕ ⊕= + ⊕ = ⊕ ⊕= + ⊕ = ⊕ ⊕= + ⊕

( )

( )

( )

( )

33 2 2 2= ⊕ ⊕ A B Co

=1

&

=1

&≥1

=1

&

=1

&≥1

=1

&

=1

&≥1

=1

&

=1

&≥1

A3

A2

A1

A0

B3

B2

B1

B0

S3

S2

S1

S0

Co0

Co1

C02

Co

20 ns

30 ns

40 ns

50 ns

60 ns

70 ns

80 ns

90 ns

Ci

DIGITALE TECHNIEKEN HOOFDSTUK 7 7. 3

Page 117: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Na substitutie van de carrys vinden we:

Het schema volgens deze structuur geeft op elke sombit, na S0, een vertraging van 40 ns. Voor een 4-bitfull adder geeft dit een snelheidswinst van 200 %.(Schema t.e.m. S2 getekend.)

Een ontwerp volgens bovenstaande structuur volgt het look-ahead principe. Als componenten vernoe-men we de 74xx83 (ripple carry 4-bit adder) en de 74xx283 (look-ahead 4-bit adder).

=1

&

=1

&≥1

=1

&

=1

&

&

&

≥1

=1

=1

&

&

&

≥1

S0

A0

A2

A1

B0

B2

B1

Co0

20 ns

30 ns

Ci

Co1

S1

S2

Co2

40 ns

30 ns

40 ns

30 ns

Co A B Ci A B

Co A B A B A B Ci A B A B

Co A B A B A B A B A B A B Ci A B A B A

0 0 0 0 0

1 1 1 0 0 1 1 0 0 1 1

2 2 2 1 1 2 2 0 0 1 1 2 2 0 0 1 1

= + ⊕= + ⊕ + ⊕ ⊕= + ⊕ + ⊕ ⊕ + ⊕ ⊕

( )

( ) ( )( )

( ) ( )( ) ( )( )(

22 2

3 3 2 2 3 3 1 1 2 2 3 3 0 0 1 1 2 2 3 3

0 0 1 1 2 2 3 3

⊕= + ⊕ + ⊕ ⊕ + ⊕ ⊕ ⊕

+ ⊕ ⊕ ⊕ ⊕

B

Co A B A B A B A B A B A B A B A B A B A B

Ci A B A B A B A B

)

( ) ( )( ) ( )( )( )

( )( )( )( )

DIGITALE TECHNIEKEN HOOFDSTUK 7 7. 4

Page 118: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3. 4-bit op-afteller.

3.1 4-bit afteller.

Uit hoofdstuk 3 weten we dat elke aftelling te herleiden is tot een optelling (A - B = A + /B + 1). Het vol-staat dus elke bit van woord B te inverteren en samen met woord A naar de opteller te brengen. Eén bij-tellen gebeurt door de carry-in op 1 te zetten. Het resultaat van de bewerking wordt in 2-complement zichtbaar op de uitgangen S3…S0.

3.2 Gecombineerde op-afteller.

Met behulp van een Mode-ingang werkt de schakeling als opteller (Mode = 0) of afteller (Mode = 1). Het aldan niet inverteren van woord B wordt eveneens bepaald door de toestand van de Mode, langs eenEXOR. Verbind de Mode met de carry-in om de op-afteller volledig functioneel te maken.

∑∑∑∑0

30

3Ci

CO

3

P

Q

0

74…83

=1

=1

=1

=1

10A0 8A1 3A2 1A3

9 S06 S12 S215 S3

14 Co

B0

B1

B2

B3

13

1174

16

Mode

∑∑∑∑0

30

3Ci

CO

3

P

Q

0

74…83

1

1

1

1

+5V

10A0 8A1 3A2 1A3

9 S06 S12 S215 S3

14 Co

B0

11

B1

7

B2

4

B3

16

13

DIGITALE TECHNIEKEN HOOFDSTUK 7 7. 5

Page 119: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3.3 Gecombineerde op-afteller met zevensegment uitlezing.

Onderstaand blokschema beschrijft de werking van deze schakeling.

Met behulp van de Mode kiezen we voor A + B (Mode = 0) of A - B (Mode = 1). Om het resultaat van debewerking zichtbaar op het display te brengen volstaat het niet om de sombits van de op-afteller recht-streeks naar de 7-segment decoder te sturen. Het resultaat kan immers negatief zijn. Als voorbeeld:

A = (5)10 en B = (7)10.A - B = (-2)10 = (1110)2.

De 7-segment decoder (74xx47) ontvangt deze informatie en op het display verschijnt alles behalve hetcijfer "2". Onderstaande figuur geeft de segmentvoorstelling van de verschillende 4-bit combinaties .

Om het juiste cijfer op het display te brengen, gaan we het resultaat van de op-aftelling bewerken voordathet op de decoder komt.

Het resultaat van de bewerking is een positief getal (0000 … 0111). Geeft de informatie rechtstreeks naar de decoder.

Het resultaat van de bewerking is een negatief getal (1000 … 1111). Maak het resultaat positief (2-complement) en geeft dit naar de decoder.Een extra LED (Min) licht op zodra het resultaat van de bewerking negatief is.

Het 2-complement, voor de decoder, wordt eveneens gerealiseerd met EXOR-poorten. De meestbedui-dende sombit bepaalt wat er uiteindelijk naar de decoder gaat.

Bijhorend schema voldoet aan de gestelde eisen. Ga zelf de volledige werking na.

Vervolledig het schema met een overflow detectie. Zodra overflow optreedt, dooft zowel het segment alshet minteken. De LED "OV" licht op.

0 1 2 3 4 5 6 7 8 9 A B C D E F

Mode

WoordA

WoordB

+ / -

Som/verschil

Co?

7/segmentdecoder

LED "Min"

LED "OV"

DIGITALE TECHNIEKEN HOOFDSTUK 7 7. 6

Page 120: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

∑∑∑∑0

30

3Ci

CO

3

P

Q

0

74…83

=1

=1

=1

=1

∑∑∑∑0

30

3Ci

CO

3

P

Q

0

74…83

=1

=1

=1

=1

7 4 … 4 7

V20

BIN/7-SEG

CT=0

G21&

1248

≥1

a 20,21

e 20,21d 20,21

f 20,21

b 20,21c 20,21

g 20,21

[ T1 ]

1

Z

E

01

0 1 2 34 5 6 78 9 A BC D E F

0 1 2 34 5 6 78 9 A BC D E F

2

+5V

1174

16

138

10

14

14

15

13

1174

16

53

4

1415910111213

962

13

10831

9 76 12 215 6

Mode

7-segment

Woord B

Woord A

LED "Min"

LED " OV"

HEX-display

DIGITALE TECHNIEKEN HOOFDSTUK 7 7. 7

Page 121: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

4. Opteller met variabele woordlengte.

De optelling van woorden met meer of minder dan 4 bits is eveneens realiseerbaar met een parallel optel-ler. Als voorbeeld maken we gebruik van een 74xx83.

4.1 Woorden kleiner dan 4 bits.Verbind de overbodige adder-ingangen met massa. Onderstaande schema's illustreren enkele mogelijkeoplossingen van voor de optelling van 2 x 3-bit woorden met carry-out.

4.2 Woorden groter dan 4 bits.Verbind de Co van de laagst beduidende adder met de Ci van de volgende. Als voorbeeld het schemavan een 2 x 7-bit optelschakeling.

5. 4-bit serie-opteller.

De werking van de schakeling wordt geïllustreerd aan de hand van een blokschema.Beide op te tellen woorden worden in een schuifregister geladen. Deze gaat, onder invloed van een klok-signaal, de informatie rechts doorschuiven. De optelling verloopt langs een 1-bit full adder.

∑∑∑∑

Co

P

Q

Ci

A3 A2 A1 A0

B3 B2 B1 B0

C-register (1 bit)

A-register (4 bits)

B-register (4 bits)

S3 S2 S1 S0

S-register (4 bits)

klok

∑∑∑∑0

30

3Ci

CO

3

P

Q

0

74…83

∑∑∑∑0

30

3Ci

CO

3

P

Q

0

74…83

14

13

14

10A0

10A4

8A1

8A5

3A2

3A6 1

16

13

1A3

16B3

11B0

11B4

7B1

7B5

4B2

4B6

9

S0

6

S1

9 S4

2

S2

6 S52 S615 Co

15

S3

∑∑∑∑0

30

3Ci

CO

3

P

Q

0

74…83

∑∑∑∑0

30

3Ci

CO

3

P

Q

0

74…83

14

98A0

10A0

3A1

8A1

1A2

3A2

11

10

13

1

16

13

7B0

11B0

4B1

7B1

16B2

4B2

6 S0

9 S0

2 S1

6 S1

15 S2

2 S2

14 Co

15 Co

DIGITALE TECHNIEKEN HOOFDSTUK 7 7. 8

Page 122: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Eerst laden we het A- en B-register, elk met één getal. Het S- en C-register worden gereset of gecleared (laden met 0).Op elk moment blijft de inhoud van A0, B0 en C verbonden met de full adder en zal deze de optelling uit-voeren. Het resultaat staat dadelijk klaar om door het S- en C-register gelezen te worden. De adder is im-mers klokonafhankelijk.

Bij een actieve klokflank, wordt de informatie in alle registers geschreven. De sombit staat dan op S3. Ge-lijktijdig schuift de informatie binnen alle registers één plaats naar rechts (C naar links). Afhankelijk vanhet type registers wordt de linkse bit met een 0 gevuld (zoals in ons voorbeeld), ofwel behoudt deze zijnwaarde.

Na vier klokpulsen staat het resultaat van de bewerking volledig in het S- en de carry in het C-register.Onderstaande tabel verduidelijkt de werking.

Een alternatieve oplossing bestaat erin het resultaat van de bewerking terug te voeren naar het A-regis-ter, en de inhoud van B te roteren.Bij een optelling van meer dan twee woorden, gaan we als volgt te werk.Schrijf het eerste en tweede woord in het A- en B-register. Na vier klokpulsen staat de som in het A-regis-ter. Lees het derde woord in het B-register. Tijdens de vier volgende klokpulsen wordt het resultaat van deeerste optelling bij het derde woord geteld en verschijnt het resultaat terug in A.…

Merk op dat de serie-opteller veel trager werkt dan de parallel-opteller.

A3 A2 A1 A0 B3 B2 B1 B0 CBeginstand 1 1 0 0 0 1 0 1 0na 1e clk 1 1 1 0 1 0 1 0 0na 2e clk 0 1 1 1 0 1 0 1 0na 3e clk 0 0 1 1 1 0 1 0 1na 4e clk 0 0 0 1 0 1 0 1 1

∑∑∑∑

Co

PQ

Ci

A3 A2 A1 A0

B3 B2 B1 B0

C-register (1 bit)

A-register (4 bits)

B-register (4 bits) klok

A3 A2 A1 A0 B3 B2 B1 B0 C S3 S2 S1 S0

Beginstand 0 1 1 0 1 1 0 1 0 0 0 0 0na 1e clk 0 0 1 1 0 1 1 0 0 1 0 0 0na 2e clk 0 0 0 1 0 0 1 1 0 1 1 0 0na 3e clk 0 0 0 0 0 0 0 1 1 0 1 1 0na 4e clk 0 0 0 0 0 0 0 0 1 0 0 1 1

DIGITALE TECHNIEKEN HOOFDSTUK 7 7. 9

Page 123: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

6. 4-bit BCD opteller.

Een BCD optelling kan gebeuren met een 4-bit binaire opteller (bv: 74xx83). Het resultaat vraagt dan weleen aanpassing zodra de som groter wordt dan 9 (zie hoofdstuk 4). Het volstaat bij deze de binaire optel-ling nogmaals met zes te verhogen. Dit gebeurt eveneens met een 74xx83.

De correctieterm H bepaalt of het resultaat al dan niet met zes dient verhoogd te worden.

H = 1 indien de som groter wordt dan (9)10. Met andere woorden.H = S3 (S2 + S1) + Co

Merk op dat het resultaat van de BCD optelling vijf bits vraagt. (9)10 + (9)10 = (18)10 = (11000)BCD.

7. 4-bit vermenigvuldiging.

De binaire vermenigvuldiging (zonder tekenbit) kwam reeds aan bod in hoofdstuk 3. Elke vermenigvuldi-ging kan herleid worden tot een reeks van optellingen.

(11)10 1 0 1 1 vermenigvuldigtal A3…A0

x (6)10 x 0 1 1 0 vermenigvuldiger B3…B0

(66)10 0 0 0 0 Deelterm 1 T13…T10

1 0 1 1 Deelterm 2 T24…T211 0 1 1 Deelterm 3 T35…T32

+ 0 0 0 0 Deelterm 4 T46…T430 1 0 0 0 0 1 0 Produkt P7…P0

∑∑∑∑0

30

3Ci

CO

3

P

Q

0

74…83

∑∑∑∑0

30

3Ci

CO

3

P

Q

0

74…83

&

≥1

≥1

D

31

0 1 2 34 5 6 78 9 A BC D E FStrobe

0 1 2 34 5 6 78 9 A BC D E FStrobe

74

BCD4

BCD4

14

Co

9 10S06 8S12 3S215 1S3

BCD0

9 BCD0

BCD1

6 BCD1

BCD2

2 BCD2

BCD3

15 BCD3

1416

11

13

13

B0

11B0

B1

7B1

B2

4B2

B3

16B3

A0

10A0

A1

8A1

A2

3A2

A3

1A3

S3...S0 + 0HH0

Binaire optelling

HEX displays

Resultaat van de BIN optelling

H =

DIGITALE TECHNIEKEN HOOFDSTUK 7 7. 10

Page 124: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Het vermenigvuldigtal wordt overgenomen indien de desbetreffende bit binnen de vermenigvuldiger 1 is.Is de betreffende bit 0, dan worden er nullen genoteerd.De realisatie van deze logica zit vervat in de AND-poorten.

T1x = Ax . B0 T3(x+2) = Ax. B2 (met x = 0…3)T2(x+1) = Ax. B1 T4(x+3) = Ax. B3

&

&

&

&

&

&

&

&

∑∑∑∑0

30

3Ci

CO

3

P

Q

0

74…83

∑∑∑∑0

30

3Ci

CO

3

P

Q

0

74…83

&

&

&

&

&

&

&

&

∑∑∑∑0

30

3Ci

CO

3

P

Q

0

74…83

7

T33

10T11

4

T34

8

T12

16

T35

3

T13

11T43

11

T21

7

T22

4

T23

16

T24

6

10

2

8

14

13

15

2

8

15

3

14

1

11

T32

7

T44

4

T45

16

T46

10

6

A0

A1

A2

A3

B0

B1

B2

B3

13

13

1

13

14 P7

15 P62 P5

9 P1

9 P2

9 P36 P4

T10 P0

DIGITALE TECHNIEKEN HOOFDSTUK 7 7. 11

Page 125: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Het links schuiven bij de optelling van elke volgende deelterm gebeurt langs de ingangen van de verschil-lende adders.

Het resultaat van de vermenigvuldiging kan als volgt worden omschreven. (Vergeet vooral de over-drachtsbits niet mee te verwerken in de hogergelegen som.)

P0 = T10 P4 = T24 + T34 + T44 + Co(P3)P1 = T11 + T21 P5 = T35 + T45 + Co(P4)P2 = T12 + T22 + T32 + Co(P1) P6 = T46 + Co(P5)P3 = T13 + T23 + T33 + T43 + Co(P2) P7 = Co(P6)

Enkele simulatievoorbeelden bewijzen de werking van bijhorende schakeling. Zowel het toetsenbord alshet display geven hexadecimale codes weer.

(7)10 x (7)10 = (49)10 = (0011 0001)2

(15)10 x (15)10 = (225)10 = (1110 0001)2

8. BCD - BIN codeomvormer met adders.

Het verband tussen een codeomvormer met behulp van adders is misschien niet meteen duidelijk. Latenwe daarom even het verband tussen beide voorstellingsvormen van naderbij bekijken. We gaan er van uitdat het BCD-getal uit twee cijfers bestaat.Enkele voorbeelden: (0011 0111)BCD = (37)10 = (100101)2

(1001 1001)BCD = (99)10 = (1100011)2Het grootste BCD-getal is 99. Deze acht bits noemen we B7…B0. Zeven bits volstaan voor het natuurlijk

binaire equivalent (N6…N0). De logica achter de omzetting ziet er als volgt uit.Bepaal het gewicht van elke bit binnen de BCD notatie (B0 heeft als gewicht (1)10, B1 staat voor (2)10,

B4 voor (10)10, …). Zet vervolgens deze gewichten om naar een binair getal. (1)10 geeft (1)2, (2)10 geeft

(10)2, (10)10 geeft (1010)2,… Tel vervolgens alle binaire deelgetallen met elkaar op. Uitgewerkt op een

voorbeeld vinden we:

(1001 0111)BCD (1 0 0 1 0 1 1 1)BCD Algemene oplosmethode

( 1)2 (B7B6 B5 B4 B3 B2 B1 B0)BCD( 1 0)2( 1 0 0)2( 0 0 0 0)2 B3 B2 B1 B0( 1 0 1 0)2 B4 0 B4 0( 0 0 0 0 0)2 B5 0 B5 0 0( 0 0 0 0 0 0)2 B6 0 B6 0 0 0(+ 1 0 1 0 0 0 0)2 + B7 0 B7 0 0 0 0

(1100001)2 ( 1 1 0 0 0 0 1)2 (N6 N5 N4 N3 N2 N1 N0 )2

0 1 2 34 5 6 78 9 A BC D E FStrobe

0 1 2 34 5 6 78 9 A BC D E FStrobe

E 1

A0A1A2A3

B0B1B2B3 P7

P6P5 P1

P2P3

P4 P0

0 1 2 34 5 6 78 9 A BC D E FStrobe

0 1 2 34 5 6 78 9 A BC D E FStrobe

3 1

A0A1A2A3

B0B1B2B3 P7

P6P5 P1

P2P3

P4 P0

DIGITALE TECHNIEKEN HOOFDSTUK 7 7. 12

Page 126: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Uit de algemene oplosmethode vinden we:

N0 = B0 N4 = B5 + B7 + Co(N3)N1 = B1 + B4 N5 = B6 + Co(N4)N2 = B2 + B5 + Co(N1) N6 = B7 + Co(N5)N3 = B3 + B4 + B6 + Co(N2)

0 1 2 34 5 6 78 9 A BC D E FStrobe

0 1 2 34 5 6 78 9 A BC D E FStrobe

2 5

B0B1B2B3

B4B5B6B7

N4N5N6

N0N1N2N3

HEX displays

∑∑∑∑0

30

3Ci

CO

3

P

Q

0

74…83

∑∑∑∑0

30

3Ci

CO

3

P

Q

0

74…83 2

1014

38

15

14

B0

10B18B23B3

4

11B41

7B5

4

11B6

16

16

B7

6 N42 N515 N6

13

1

7

13

9 N16 N2

9 N3

N0

DIGITALE TECHNIEKEN HOOFDSTUK 7 7. 13

Page 127: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

9. Toepassingen.

1. Teken het schema voor een 2 x 10 bit opteller.

2. Vervolledig de tabel voor een serie-opteller met somregister. (A = (2)10 en B = (5)10)

3. Vervolledig de tabel voor een serie-opteller zonder somregister. (A = (3)10 en B = (-6)10)

4. Teken het schema voor een 3-bit x 2-bit vermenigvuldiger.

A3 A2 A1 A0 B3 B2 B1 B0 CBeginstandna 1e clkna 2e clkna 3e clkna 4e clk

A3 A2 A1 A0 B3 B2 B1 B0 C S3 S2 S1 S0

Beginstandna 1e clkna 2e clkna 3e clkna 4e clk

DIGITALE TECHNIEKEN HOOFDSTUK 7 7. 14

Page 128: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Zoals in hoofdstuk 4 (ASCII-code) reeds werd aangehaald, bestaan er een aantal technieken om de juist-heid van informatie te controleren. Bij het verzenden van parallelle informatie over een transmissielijn,wordt een extra bit (pariteitsbit) toegevoegd. De ontvanger kan op het totaal aantal bits (data + pariteit)testen uitvoeren en vervolgens een beslissing nemen. Zodra één van de bits foutief ontvangen wordt (0verzonden wordt als 1 ontvangen of omgekeerd) geeft de pariteitscontroler een foutmelding. Door de fout-detectie te melden aan de zender, weet deze dat hij de voorgaande informatie nogmaals moet opsturen.Het genereren van een pariteitsbit verloopt volgens een bepaalde structuur. Oneven pariteit wil zeggendat het totaal aantal bits (data- en pariteitsbit) een oneven aantal enen bevat. Bij een even pariteit is hettotaal aantal enen even.Onderstaande tabel geeft de toestand van de pariteitsbit in functie van een 4-bit woord.

1. 4-bit pariteitsgenerator.

4-bit pariteitsgenerator betekent uit 4 databits een vijfde pariteitsbit genereren.

EPB A1,A0

00 01 11 10

A3,A2 00 1 1

01 1 1

11 1 1

10 1 1

EPB A A A A

OPB A A A A

= ⊕ ⊕ ⊕

= ⊕ ⊕ ⊕

3 2 1 0

3 2 1 0

A3 A2 A1 A0 OPB EPB0 0 0 0 1 0 OPB = oneven pariteitsbit0 0 0 1 0 1 EPB = even pariteitsbit0 0 1 0 0 10 0 1 1 1 00 1 0 0 0 10 1 0 1 1 00 1 1 0 1 00 1 1 1 0 11 0 0 0 0 11 0 0 1 1 01 0 1 0 1 01 0 1 1 0 11 1 0 0 1 01 1 0 1 0 11 1 1 0 0 11 1 1 1 1 0

DIGITALE TECHNIEKEN HOOFDSTUK 8 8. 1

HOOFDSTUK 8Pariteitscontrole.

Page 129: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Bovenstaande schakeling kan tevens dienst doen als 4-bit pariteitscontroler. Let op : binnen deze 4 bitszit dan eveneens de paritietsbit (3 data- en 1 pariteitsbit). Zodra er een fout optreedt bij oneven pariteit,wordt uitgang OPB hoog.

Voor een 4-bit datatransmissie met extra pariteitsbit bevat de zender een 4-bit pariteitsgenerator en deontvanger een 5-bit pariteitscontroler.

2. De 74xx280 (9-bit parity generator / checker).

De 74280 bevat 9 ingangen en 2 uitgangen.

2k staat voor een even pariteitselement (2k+1 voor oneven). Zodra het aantal enen op de 9 ingangeneven is, komt uitgang ∑E hoog en de inverse uitgang (∑O) laag. Met andere woorden:

aantal enen even (0, 2, 4, 6 of 8 enen) dan wordt ∑E = 1 en ∑O = 0.aantal enen oneven (1, 3, 5, 7 of 9 enen) dan wordt ∑E = 0 en ∑O = 1.

Verder bestaat de mogelijkheid tot uitbreiding van het aantal ingangen. Onderstaand schema geeft een25-bit oneven pariteitsgenerator met P als pariteitsbit.

7 4 … 2 8 0

2 K7 4 … 2 8 0

2 K

7 4 … 2 8 0

2 K

2A71A6

13A512A4

4A8

6

2A161A15

13A1412A1311A1210A119A108A9

4A17

62A231A22

13A2112A2011A1910A189

5

8

5

4A24

6

511A310A29A18A0

P

7 4 … 2 8 0

2 K89

10111213124

6

5 ∑ E

∑ O

=1

=1

=1

=1

0 1 2 34 5 6 78 9 A BC D E FStrobe

1

0

+5V

EPBA3

A2

A1

A0 OPB

DIGITALE TECHNIEKEN HOOFDSTUK 8 8. 2

Page 130: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3. Oneven pariteitstransmissie.

Over de transmissielijn wordt een ASCII-code verzonden met een oneven pariteitsbit (A6…A0 en PB geeft8 bits in totaal).Zowel de zender als de ontvanger maken gebruik van een 74xx280.

Enkele voorbeelden. A = (101 0110)2 geeft een PB =1.

A = (010 1010)2 geeft een PB =0.

Uitgang "error" stelt een eventuele transmissiefout vast. Bij een correcte transmissie geeft deze altijd een0. Bij een fout wordt error = 1.

4. Toepassingen.

1. Ontwerp een 3-bit pariteitsgenerator. Een M-ingang bepaalt de pariteit (M = 0 geeft even pariteit, M = 1oneven pariteit).

2. Pas het schema van de oneven pariteitstransmissie aan tot een even pariteitstransmissie.

3. Vergelijk twee 4-bit woorden met elkaar . Het resultaat wordt op afstand weergegeven op LED's. A ≥ B : LED1 licht op.A < B : LED2 licht op.

Om eventuele transmissiefouten op te sporen, sturen we een pariteitsbit mee (even beeld). Zodra deontvanger een fout waarneemt doven beide LED's en zal LED3 oplichten.

0 1 2 34 5 6 78 9 A BC D E FStrobe

0 1 2 34 5 6 78 9 A BC D E FStrobe

0 0A0A1A2A3

A4A5A6

PB error

0 1 2 34 5 6 78 9 A BC D E FStrobe

0 1 2 34 5 6 78 9 A BC D E FStrobe

1 0A0A1A2A3

A4A5A6

PB error

7 4 … 2 8 0

2 K7 4 … 2 8 0

2 K

6

2

5

PB

11

A6

1313

A5

1212

A4

1111

A3

1010

A2

99

A1

88

A0

4

24

6

5 error

DIGITALE TECHNIEKEN HOOFDSTUK 8 8. 3

Page 131: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Zodra een digitaal systeem wordt uitgerust met geheugenelementen, spreekt men van een sequentiëleschakeling. De nieuwe toestand van de uitgangen wordt bepaald door een aantal, van elkaar onafhanke-lijke, ingangen en door de toestand waarin het systeem zich momenteel bevindt. Denk hierbij maar aaneen binaire op-afteller. Het volgende getal wordt bepaald door het huidige getal en de toestand van de in-gang die bepaalt in welke richting de verdere telling verloopt.

Een flipflop, als één bit geheugen, is het basiselement van alle sequentiële schakelingen.

Het aantal flipflops binnen een sequentieel systeem wordt bepaalt door het aantal toestanden. Enkelevoorbeelden ter illustratie.

* Een schakeling waarbij men met behulp van één druktoets een lamp aan- en uitschakelt bevat maaréén flipflop.

* Ook een TV toestel heeft een aantal flipflops aan boord. Eenmaal het juiste station gekozen op de af-standsbediening, onthoudt het TV toestel dit en kan de gebruiker de druktoets loslaten.

* Een vier bit binaire opteller bestaat uit vier flipflops (één flipflop voor elke uitgangsbit). De teller ont-houdt de uitgangscode en telt er vervolgens één bij. De overgang naar de volgende toestand gebeurtop welbepaalde tijdstippen onder invloed van een kloksignaal.

* Een computer met 4 MByte aan RAM geheugen kan 4 x 1048576 x 8 = 33554432 bits bewaren enbevat dus ongeveer 34 miljoen flipflops.

Indien alle uitgangsbits van een schakeling op hetzelfde ogenblik, onder invloed van een klok, naar hunnieuwe toestand overgaan, dan noemt men dit een synchroon systeem. Is dit niet het geval, dan spreektmen van een asynchroon systeem.

In dit hoofdstuk komen de verschillende flipfloptypes aan bod. Naast de werking en de inwendige struc-tuur van de componenten gaan we de timing van enkele eenvoudige flipflop schakelingen bespreken. Hetontwerpen van een sequentiële schakeling wordt uitvoerig besproken in hoofdstuk 10 (Toestandsmachi-nes).

1. SR flipflop.

Een Set/Reset of kortweg SR flipflop is het eenvoudigste geheugenelement dat men kan ontwerpen. Hetbevat twee NAND- ofwel twee NOR-poorten. Naast S en R als ingangen hebben we een Q en zijn inverseals uitgangen. Deze component bevat GEEN klokingang en komt dus niet in aanmerking om synchronesystemen te ontwerpen.De ingang S staat voor "set". Deze zet de uitgang Q op 1.R staat voor "reset" en zet Q op 0.

Combinatorischeschakeling

Sequentiëleschakeling

Eén of meerdere uitgangenworden teruggekoppeld.

DIGITALE TECHNIEKEN HOOFDSTUK 9 9. 1

HOOFDSTUK 9Flipflops.

Page 132: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

1.1 SR flipflop met NOR-poorten.

Toestandstabel.Een toestandstabel is in feite de waarheidstabel van een sequentiële schakeling. Naast S en R komt ookQ in het ingangsgedeelte van de tabel. In het uitgangsgedeelte staat eveneens Q. Om verwarring tevoorkomen noteren we op de ingang QT (de huidige toestand van Q) en op de uitgang QNT (de nieuwe of

volgende toestand van Q).

Zodra één of meerdere ingangen van een NOR poort op 1 staan, zal de uitgang 0 worden. In toestand 3betekent dit dat uitgang Q wordt gereset en dit onafhankelijk van de vorige toestand van Q. In toestand 4wordt de flipflop geset. Ook hier is de vorige toestand onbelangrijk.In toestand 5 komen beide uitgangen laag. Deze ingangscombinatie wordt dus best vermeden (verbodentoestand).In toestand 1 en 2 blijft de vorige toestand van Q bewaard. De component staat in zijn geheugenstand.Indien, na een verboden toestand, beide ingangen S en R gelijktijdig op 0 komen, dan is het nieuwe uit-gangsniveau onvoorspelbaar. Beide poorten gaan theoretisch continu van toestand wisselen (zie simula-tie). De vertragingstijden van de poorten bepalen de oscillatiefrequentie. In werkelijkheid zijn de vertra-gingstijden van beide poorten niet volledig identiek en zullen de uitgangen na verloop van tijd in een be-paalde, niet te voorspellen, toestand blijven hangen.

We kunnen bovenstaande tabel als volgt samenvatten.

S R QT QNT /QNT S R QT QNT /QNT

0 0 0 0 1 (1) 0 0 0 0 1 (1)0 0 1 1 0 (2) 0 0 1 1 0 (2)0 1 0 0 1 (3) 0 1 x 0 1 (3)0 1 1 0 1 (3) 1 0 x 1 0 (4)1 0 0 1 0 (4) 1 1 x 0 0 (5)1 0 1 1 0 (4)1 1 0 0 0 (5)1 1 1 0 0 (5)

≥1

≥1

S

RQ

Q

R

S

RQ

S

Q

S geheugen R S

verbodentoggle R!!!geheugen geheugen

DIGITALE TECHNIEKEN HOOFDSTUK 9 9. 2

Page 133: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Excitatietabel.Een excitatietabel geeft aan welke ingangscombinatie er nodig is om van de ene uitgangstoestand naarde andere over te gaan. Dit wordt een belangrijke tabel bij het ontwerpen van sequentiële schakelingen.

De uitgang staat op 0 en we wensen hem daar te houden. Dit kan door de flipflop in geheugenstand tezetten ( S = 0 en R = 0) of door de reset te activeren ( S = 0 en R = 1). Het volstaat dus de setingang opnul te houden. De toestand van R is hierbij onbelangrijk (S = 0 en R = x). De verboden toestand laten wehier buiten beschouwing. Om de uitgang van 0 naar 1 te brengen setten we de flipflop. Om van 1 naar 0 over te gaan resetten wede flipflop. Ook hier laten we de verboden toestand buiten beschouwing.Een 1 op de uitgang bewaren kan langs de geheugentoestand of de set.

Opm: zoals reeds aangehaald ga je Nooit rechtstreeks over van de verboden naar de geheugentoestand.Het gedrag van de flipflop wordt hierdoor onvoorspelbaar. Onderstaand schema is dus uit den boze.

1.2 SR flipflop met NAND-poorten.

Deze SR flipflop heeft laag actieve ingangen. Ga zelf de werking, de toestandstabel en de exitatietabelna.

Q

R

S

Q

&

&

S

RQ

R

QS

S

R01

In Uit

QT QNT S R0 0 0 x0 1 1 01 0 0 11 1 x 0

S R QNT /QNT

0 0 QT /QT Geheugen0 1 0 1 Reset1 0 1 0 Set1 1 0 0 Verboden

DIGITALE TECHNIEKEN HOOFDSTUK 9 9. 3

Page 134: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Toestandstabel.

Excitatietabel.

2. Enkele nuttige toepassingen met een SR flipflop.

2.1 Anti-dender schakeling.

Zodra het contact van een mechanische schakelaar sluit, ontstaat er dender. Het contact "klappert" evenvoor het in zijn stabiele toestand terecht komt.

Zodra dit signaal als klok fungeert, bijvoorbeeld op een teller, dan zal elke druk op de toets niet één maarmeerdere actieve kloppulsen genereren. Hierdoor zijn er teveel (ongewilde) flanken aanwezig. Dit pro-bleem kan men eenvoudig oplossen door de wisselschakelaar op de ingangen van een SR flipflop teplaatsen.

+5V+5V

S

R

Out

S

R

Out

Sckakelaar in bovenste stand

Sckakelaar in onderste stand

+5V

Out

S openS gesloten

QT QNT S R0 0 1 x0 1 0 11 0 1 01 1 x 1

S R QT QNT /QNT

0 0 x 1 10 1 x 1 01 0 x 0 11 1 0 0 11 1 1 1 0

S R QNT /QNT

0 0 1 1 Verboden0 1 1 0 Set1 0 0 1 Reset1 1 QT /QT Geheugen

DIGITALE TECHNIEKEN HOOFDSTUK 9 9. 4

Page 135: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Als de schakelaar in de onderste stand staat, wordt de flipflop gereset (out = 0). Tijdens het omschakelenvan de schakelaar komen beide ingangen gedurende een korte tijd hoog. Tijdens deze actie staat de flip-flop in geheugenstand (out blijft 0). Zodra de schakelaar de set raakt komt de uitgang hoog (out = 1). Tij-dens het denderen van het contact op de S-ingang behoudt de uitgang zijn niveau. Er wordt immers ge-durende een korte tijd continu geschakeld tussen set en geheugen.Klikken we de schakelaar een tweede maal om, dat komen we via de geheugenstand naar reset. De uit-gang komt laag. Deze schakeling elimineert de contactdender op de flipflopuitgang.

2.2 Startstop schakeling.

Onderstaande schakeling beschrijft het aan- en uitschakelen van een toestel met behulp van twee druk-toetsen. Merk op dat de stop voorrang heeft op de start. Worden immers beide toetsen gelijktijdig be-diend, vervallen we in de verboden toestand van de flipflop en blijft de uitgang laag.

Daar een flipflopuitgang meestal niet de juiste spanning en stroom kan leveren om rechtstreeks een be-lasting (bijvoorbeeld een motor) te sturen, kan de schakeling worden uitgebreid met een relaisbekrachti-ging. Bij een hoog niveau op de flipflopuitgang komt de transistor in geleiding. Het relais wordt bekrach-tigd en sluit zijn maakcontact. Dit contact voorziet de bijhorende schakeling, de motor, van spanning. De stoptoets brengt de flipflopuitgang laag. De transistor komt uit geleiding. De relaisbekrachtiging ver-dwijnt en opent het maakcontact. Plaats steeds een vrijloopdiode over de spoel van het relais om beschadiging van de transistor te voorko-men.

2.3 Links/rechts sturing met vergrendeling.

Met behulp van drie druktoetsen wordt een links/rechts sturing van een motor gerealiseerd. Toets Lbrengt uitgang LINKS op 1, terwijl toets R uitgang RECHTS activeert. Toets STOP deactiveert beide uit-gangen. De overgang van LINKS naar RECHTS, of omgekeerd, gaat niet rechtstreeks. Druk eerst op stopen activeer vervolgens de gewenste toestand.

S

R

S

R

≥1

≥1

+5V

+5V

+5V

L

RECHTS

LINKS

R

STOP

+5V +5V+5V

S

R

K1K1StopStart

DIGITALE TECHNIEKEN HOOFDSTUK 9 9. 5

Page 136: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Dit schema wordt bijvoorbeeld ook toegepast bij een quiz. De schakeling registreert op deze manier dekandidaat die het snelst afdrukt. Ontwerp zelf een gelijkaardig schema voor drie kandidaten.

3. JK flipflop.

Door een aantal poorten toe te voegen aan een SR flipflop ontstaat een JK flipflop. De J set de flipflopuit-gang terwijl de K de flipflop reset. Als voordeel kunnen we aanhalen dat de JK flipflop geen verboden toestand kent. Verder is er altijd eenklokingang voorzien. Dit maakt het mogelijk synchrone schakelingen te ontwerpen. Als optie bevatten demeeste componenten een asynchrone set en/of reset ingang.

3.1 Basisschema van een JK flipflop.

De werking van de JK flipflop kan aan de hand van onderstaand schema afgeleid worden.

Zolang het kloksignaal op 0 staat, kan de flipflop niet van toestand veranderen. De ingebouwde SR flipflopstaat immers in zijn geheugenstand. De J en K ingang kunnen de uitgang enkel beïnvloeden tijdens hethoog zijn van de klok. De verschillende combinaties hierbij zijn:

* J en K zijn 0. De uitgangen veranderen niet. De SR flipflop staat in zijn geheugentoestand.* J = 1, K = 0 en QT = 1. De SR flipflop staat in geheugentoestand. (QNT = QT = 1)

J = 1, K = 0 en QT = 0. De SR flipflop wordt geset en vervolgens in geheugentoestand geplaatst. (QNT= 1)Dus indien J = 1 en K = 0 wordt de JK flipflop geset.

Q

S

R

&

&

Q

K

J

CLK

J

K

CLKQ

Q

1J

1K

C1

RECHTS

LINKS

STOP

R

L

DIGITALE TECHNIEKEN HOOFDSTUK 9 9. 6

Page 137: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

* J = 0, K = 1 en QT = 1. De SR flipflop wordt gereset en vervolgens in geheugentoestand geplaatst.(QNT = 0)J = 0, K = 1 en QT = 0. De SR flipflop staat in geheugentoestand. (QNT = QT = 0)Dus indien J = 0 en K = 1 wordt de JK flipflop gereset.

* J en K zijn 1. De uitgang inverteert continu (toggle). De frequentie wordt bepaald door de vertragingstij-den van de inwendige poorten. Op het moment dat de klok laag komt, stopt het inverteren en is de toe-stand van de uitgang niet te voorspellen. De schakeling vervalt in een onbepaalde toestand.

Om dit ongecontroleerd inverteren in de hand te houden, kan men de actieve klokpuls zodanig verkortendat de JK flipflop juist één maal de kans krijgt om te inverteren. Hierdoor wordt de schakeling terug voor-spelbaar. Volgens dit principe werkt de flankgevoelige JK flipflop.Een tweede oplossing om het toggelen onder controle te houden bestaat erin twee JK flipflops achter el-kaar te plaatsen en elk op afzonderlijke tijdstippen de aangeboden informatie te laten verwerken. Dit noe-men we de JK master/slave flipflop.De JK flipflop volgens het basisschema komt men, omwille van het ongecontroleerd inverteren, in depraktijk nooit tegen. De flankgevoelige en master/slave flipflop wel.

3.2 Flankgevoelige JK flipflop.

Het inverteren van de klok gaat gepaard met een kleine tijdsvertraging. De AND-functie van de klok enzijn inverse geven dus gedurende enkele nanoseconden een hoog op CKL'. Hierdoor wordt de informatie

Q

Q

S

R

&

&

1&

Q

K

J

CKL'

CLK

J

K

QCLK'

CLK 1J

1K

C1

CLK J K QT QNT /QNT CLK J K QNT /QNT

0 x x 0 0 1 0 x x QT /QT Geheugen0 x x 1 1 0 1 0 0 QT /QT Geheugen1 0 0 0 0 1 1 0 1 0 1 Reset1 0 0 1 1 0 1 1 0 1 0 Set1 0 1 0 0 1 1 1 1 /QT QT Toggle1 0 1 1 0 11 1 0 0 1 01 1 0 1 1 01 1 1 0 1 01 1 1 1 0 1

DIGITALE TECHNIEKEN HOOFDSTUK 9 9. 7

Page 138: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

op J en K kortstondig op de SR flipflop gebracht. Gedurende het laag zijn van CKL' staat de SR flipflop inzijn geheugenstand. Het lijkt net alsof de JK flipflop reageert op de stijgende flank van de klok CLK.

Indien CLK' = 1 en J = K = 1, kan de flipflop inverteren. Zodra de uitgangen hun nieuwe toestand hebbeningenomen verschijnen deze op de NAND-poorten. Ondertussen staat CLK' reeds op 0. De JK flipflop kandus maar één keer inverteren per actieve klokpuls.

3.3 JK Master/Slave flipflop.

De master/slave flipflop bevat twee SR flipflops. FF1 is de master en FF2 de slave.

CLK = 0.FF1 staat in geheugentoestand. FF2 verwerkt de informatie die hij ontvangt van de master, en geeft hetresultaat op zijn uitgangen weer.

CLK = 1.FF1 verwerkt de aangeboden informatie terwijl FF2 in zijn geheugenstand staat. De toestand van de uit-gangen kan dus niet veranderen.

Hoe verloopt de dataflow indien J = K = 1 ?Veronderstel dat de uitgang Q op 0 staat. Zodra CLK op 1 komt, wordt FF1 geset. FF2 staat in geheu-genstand en merkt hier dus niets van. Op het moment dat CLK laag wordt, zal FF2 worden geset. De uit-gang is dus geïnverteerd (toggle).De gebruiker merkt de toestandsverandering van de uitgang op het moment dat de klok laag komt.

Verder worden de NAND-poorten zo ontworpen dat op de stijgende en dalende flank van de klok, beideflipflops heel even in hun geheugentoestand staan. In onderstaand tijdsdiagram is een sterke uitvergrotingvan het kloksignaal. De helling van het kloksignaal wordt hierdoor zichtbaar. Deze helling is belangrijk bijhet interpreteren van het schema bij een overgang van CLK = 1 naar CLK = 0 en omgekeerd.CLKM staat voor de enable op de NAND-poorten op de master, CLKS voor de enable op de slave.

S

R

S

R

&

&

FF1 FF2Q

Q'

J

CLK

K

1

1

S

R

S

R

1

1

FF1 FF2Q1

1

Q

S

R

S

R

&

&

&

&

1

K

CLK

J

Q'

QFF2FF1

CLKM CLKS

DIGITALE TECHNIEKEN HOOFDSTUK 9 9. 8

Page 139: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

De omslagniveaus of herkenningsniveaus voor een logische 0 en 1 op de NAND-poorten van de masteren de slave zijn verschillend. Voor de verschillende toestanden geldt:

A: Master geïsoleerd van J en K. Slave verbonden met master.B: Master geïsoleerd van J en K. Slave geïsoleerd van master.C: Master verbonden met J en K. Slave geïsoleerd van master.D: Master geïsoleerd van J en K. Slave geïsoleerd van master.

3.4 JK flipflop met asynchrone set en reset.

Een asynchrone set en reset beïnvloeden de flipflopuitgangen rechtstreeks, dus onafhankelijk van deklok. Ter illustratie volgen de volledige schema's van een JK flipflop met een laag actieve set en reset,met het bijhorende IEC-symbool.

De klok beïnvloedt zowel J als K. Vandaar dat beide notaties worden voorafgegaan door een cijfer dat re-fereert naar de klok.Het driehoekje binnen het symbool duidt op de flankgevoeligheid van deze ingang. Vergelijken we hetsymbool van een flankgevoelige flipflop met deze van een master/slave.

S

R

S

R

&

&

&

&

1

&

&

S1J

1KR

C1K

CLK

J

Q'

QFF2FF1

S

R

S

R

&

&

1 &

&

&

S1J

1KR

C1

CLK

/Q

Q

K

J

S

R

CLKS 1 0 1 0

CLKM 0 1 0 1

Toestand A CB D A CB

Omslagniveau master

Omslagniveau slaveCLK

DIGITALE TECHNIEKEN HOOFDSTUK 9 9. 9

Page 140: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Merk op dat er zeer weinig SR flipflops in de TTL-reeks voorkomen, in vergelijking met JK's, wat duidt ophet minieme gebruik van deze flipflops binnen digitale schakelingen. Meestal zal de ontwerper zelf zijn SRflipflop configureren met twee NAND- of twee NOR-poorten. Een andere oplossing bestaat erin enkel deset en resetingang van een JK flipflop te gebruiken. Verbind de overige ingangen met de massa of met devoedingsspanning.

3.5 Toestands- en excitatietabel.

Bijna alle JK flipflops bezitten hoogactieve J, K en laagactieve S, R ingangen. Wat de klok betreft ko-men alle mogelijkheden in aanmerking ( flankgevoelig of master/slave waarbij de uitgangen op de stijgen-de of dalende flank hun nieuwe toestand aannemen.) Voor al deze flipflops kunnen we één toestandstabel met bijhorende excitatietabel opstellen.

De excitatietabel maakt enkel gebruik van de synchrone uitgangsveranderingen. Een uitgangsveranderingvan 0 naar 1 gebeurt bij een synchrone set (J = 1 en K = 0) of bij een toggle (J = 1 en K = 1). Het volstaatdus J op 1 te brengen (J = 1 en K = x). Hierbij zijn S en R niet actief. ( Beiden 1 dus.) Ga zelf de overigetoestanden na.

S R J K QNT

0 0 x x ? Verboden toestand Uitgang0 1 x x 1 Asynchrone set reageert1 0 x x 0 Asynchrone reset dadelijk1 1 0 0 QT Geheugen Uitgang1 1 0 1 0 Synchrone reset reageert op de1 1 1 0 1 Synchrone set actieve flank

1 1 1 1 /QT Synchrone toggle van de klok

S1J

1KR

C1

S1J

1KR

C1

S1J

1KR

C1

S1J

1KR

C1 huidige toest. volgende toest.QT QNT J K0 0 0 x0 1 1 x1 0 x 11 1 x 0

S1J

1KR

C1

S

R

Q

C1

C1

flankgevoelig:uitgang verandert opde dalende klokflank

C1

C1

master-slave:uitgang verandert opde dalende klokflank

master-slave:uitgang verandert op

de stijgende klokflank

flankgevoelig:uitgang verandert op

de stijgende klokflank

DIGITALE TECHNIEKEN HOOFDSTUK 9 9. 10

Page 141: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3.6 Enkele voorbeeldschakelingen.

3-bit asynchrone teller.

De laagste bit van deze teller ontvangt de basisklok. Door de Q uitgang van een minderbeduidende flip-flop te verbinden met de klok van de meerbeduidende flipflop, ontstaat een asynchrone (op)teller. Alle flipflops staan continu in hun toggle-mode. Op de dalende klokflank inverteert de flipflopuitgang zijnvorige toestand.

Merk op dat de overgang van (Q2 … Q0) 011 naar 100 gedurende een korte tijdspanne een aantal tus-senstappen doorloopt. Eerst verandert Q0 van 1 naar 0. Vervolgens wijzigt Q2 en pas daarna Q3. Danpas is de nieuwe toestand stabiel. De volledige overgang ziet er dus als volgt uit.

011 -> 010 -> 000 -> 100

Het asynchrone gedrag van deze teller maakt hem onpopulair bij ontwerpers. De tussenliggende onsta-biele toestanden kunnen de schakeling danig in de war sturen. Er ontstaan immers spikes en glitches.Een goed sequentieel ontwerp is altijd synchroon.

3-bit asynchrone afteller.

Verbind de inverse flipflop uitgang naar de klokingang van de meerbeduidende flipflop.

Q2

Q1

Q0

CLK

S1J

1KR

C1

S1J

1KR

C1

S1J

1KR

C1

+5V +5V +5VQ0 Q1 Q2

CLK

Q2

Q1

Q0

CLK

S1J

1KR

C1

S1J

1KR

C1

S1J

1KR

C1

+5V +5V +5V

CLK

Q0 Q1 Q2

DIGITALE TECHNIEKEN HOOFDSTUK 9 9. 11

Page 142: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3-bit synchrone teller.

De klok is nu voor alle flipflops gemeenschappelijk. Hierdoor reageren alle flipflop uitgangen op hetzelfdemoment, dus synchroon. Om de werking van synchrone schakelingen vlot te evalueren bekijken we detoestand van J en K op elke flipflop tijdens de actieve klokflank. Naast deze actieve flank kunnen de uit-gangen immers niet van toestand veranderen. FF0 staat continu in zijn toggle-toestand. De J en K-ingang van FF1 en Q0 zijn met elkaar verbonden. Zo-lang Q0 0 is staat FF2 in zijn geheugenstand en blijft zijn uitgang onveranderd. Bij Q0 = 1 inverteert FF2.De AND-functie van Q0 en Q1 sturen de J en K van FF2. Ga zelf de wijzigingen van Q2 na.

5-teller.

Bovenstaand schema stelt een 5-teller voor (van 0 tot 4). Herken de synchrone 3-bit teller uit voorgaandschema.Met behulp van de asynchrone reset op elke flipflop zijn we in staat de teller op het gewenste moment te-rug naar 000 te brengen. Zodra op de telleruitgangen binair getal 5 (101) verschijnt, maken we alle resetingangen actief.

S1J

1KR

C1

S1J

1KR

C1

S1J

1KR

C1

+5V +5V +5V

&

&

Q1

Res

CLK

Q2Q0FF0 FF1 FF2

Q2

JK2

Q1

Q0

CLK

S1J

1KR

C1

S1J

1KR

C1

S1J

1KR

C1

+5V +5V +5V

&

Q1 Q2

CLK

Q0

JK2

FF0 FF1 FF2

DIGITALE TECHNIEKEN HOOFDSTUK 9 9. 12

Page 143: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Merk op dat de teller hierdoor terug asynchroon reageert. Een volledig synchrone 5-teller maakt geen ge-bruik van de reset van de flipflop. Meer hierover in hoofdstuk 11.

4. D flipflop.

4.1 Basisstructuur.

Een D flipflop is een vereenvoudigde versie van de JK flipflop. Verbind K met het inverse van J en brengdeze als één ingang op de component. Als voorbeeld geven we het schema en het bijhorend tijdsdiagramvan een flankgevoelige D flipflop.

Leid de toestands- en excitatietabel af uit deze van de JK flipflop. D = 0 staat voor J = 0 en K = 1.D = 1 staat voor J = 1 en K = 0.

S R D QNT

0 0 x ? Verboden toestand0 1 x 1 Asynchrone set1 0 x 0 Asynchrone reset1 1 0 0 Synchrone reset1 1 1 1 Synchrone set

huidige toest. volgende toest.QT QNT D0 0 00 1 11 0 01 1 1

Q

D

CLK

S

1DR

C1

S1J

1KR

C11

+5V

Q

CLK

D

Res

Q2

Q1

Q0

CLK

Res QT1,QT0

00 01 11 10

QT2 0 1 1 1 1

1 1 0 x x

Re s Q QT T= 2 0

DIGITALE TECHNIEKEN HOOFDSTUK 9 9. 13

Page 144: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

4.2 Enkele voorbeeldschakelingen.

2-bit synchrone teller.

Controleer de toestand van de D-ingangen op de stijgende flank van de klok. Op dit moment neemt de uit-gang de toestand van de bijhorende ingang over.

4-bit schuifregister (FIFO).

Dit schuifregister ontvangt een seriële bitstroom op de D-ingang van FF1. Na 4 klokcyclussen verschijntdeze informatie op de uitgang van FF4. Ingang Clear ledigt alle registers.Onderstaande tabel beschrijft de dataflow binnen deze schakeling aan de hand van een voorbeeld.

Merk op dat QFF1 de seriële data synchroniseert met de klok. QFF2 vertraagt deze datastroom met eentijdsvertraging van één klokperiode. Elke bijkomende flipflop geeft een extra tijdsvertraging van één klok-periode.

Clear act. flank Data in QFF1 QFF2 QFF3 Data out0 x x 0 0 0 01 1e 1 1 0 0 01 2e 0 0 1 0 01 3e 0 0 0 1 01 4e 1 1 0 0 11 5e 1 1 1 0 01 6e 0 0 1 1 01 7e 1 1 0 1 1

S

1DR

C1S

1DR

C1S

1DR

C1S

1DR

C1

+5V +5V +5V +5V

Data inData uit

Clear

CLK

FF1 FF2 FF3 FF4

D1

D0

Q1

Q0

CLK

S

1DR

C1S

1DR

C1

+5V +5V

=1D0

CLK

Q1Q0

D1

DIGITALE TECHNIEKEN HOOFDSTUK 9 9. 14

Page 145: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

4-bit serieel naar parallel omvormer (SIPO).

Met een kleine uitbreiding aan voorgaande schakeling ontstaat een serieel naar parallel omvormer. De 4-bit data komt serieel binnen en na vier klokcyclussen is deze parallel beschikbaar op de flipflopuitgangen.Breng Read op 1 en de data verschijnt op de P-uitgangen.Als voorbeeld lezen we het getal 1310 serieel binnen (LSB eerst).

Merk op dat vanaf de vierde t.e.m. de zevende klokflank de data terug serieel beschikbaar is op de uit-gang van FF4 (Ser.out).

5. D latch.

We spreken van een latch in plaats van flipflop indien de klok niveau gevoelig reageert.Voor een D latch met een hoog actieve klok, zal de uitgang het ingangssignaal overnemen zolang hetkloksignaal hoog is. Zodra de klok 0 wordt, komt de latch in zijn geheugenstand terecht.Een D latch bestaat meestal uit een geklokte SR flipflop (SR latch), waarvan de S- en R-ingang tot éénD-ingang zijn verenigd.

Q

D

CLK

S

R

1

&

&

1D

C1

D

CLKQ

Clear Read act. flank Ser in QFF1 QFF2 QFF3 ser out P3 P2 P1 P0

0 0 x x 0 0 0 0 0 0 0 01 0 1e 1 1 0 0 0 0 0 0 01 0 2e 0 0 1 0 0 0 0 0 01 0 3e 1 1 0 1 0 0 0 0 01 0 4e 1 1 1 0 1 0 0 0 01 1 voor 5e x 1 1 0 1 1 1 0 1

S

1DR

C1S

1DR

C1S

1DR

C1S

1DR

C1

+5V +5V +5V +5V

& & & &

Ser. in

Clear

CLK

FF1 FF2 FF3 FF4

Parallel uit

Ser. uit

P3 P2 P1 P0

Read

DIGITALE TECHNIEKEN HOOFDSTUK 9 9. 15

Page 146: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

6. Toepassingen.

1. Vervolledig het tijdsdiagram van onderstaande schakelingen. Vertrek steeds vanaf een reset.

Q3

Q2

Q1

Q0

CLK

S1J

1KR

C1

S1J

1KR

C1

S1J

1KR

C1

S1J

1KR

C1

+5V +5V +5V +5V

& &

CLK

Q3Q1Q0 Q2

Q3

Q2

Q1

Q0

CLK

S1J

1KR

C1

S1J

1KR

C1

S1J

1KR

C1

S1J

1KR

C1

+5V +5V +5V +5V

CLK

Q0 Q1 Q2 Q3

DIGITALE TECHNIEKEN HOOFDSTUK 9 9. 16

Page 147: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Q3

Q2

Q1

Q0

CLK

+5V +5V +5V +5V

S

1DR

C1S

1DR

C1S

1DR

C1S

1DR

C1

≥1

Q3Q0

CLK

Q2Q1

Q3

Q2

Q1

Q0

CLK

S1J

1KR

C1

S1J

1KR

C1

+5V +5V +5V +5V

&

S1J

1KR

C1

S1J

1KR

C1

Q0 Q1 Q2 Q3

CLK

DIGITALE TECHNIEKEN HOOFDSTUK 9 9. 17

Page 148: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

2. Hoe reageert deze schakeling in functie van de tijd?

3. Wat stelt onderstaande schakeling voor ? Ga de werking na bij Mode = 1 en Mode = 0.

S1J

1KR

C1

S1J

1KR

C1

+5V +5V

=1

Q1

CLK

Q0

Mode

Start act. flank QFF1 QFF2 QFF3

0 x1 1e

1 2e

1 3e

1 4e

1 5e

1 6e

1 7e

S

1DR

C1S

1DR

C1S

1DR

C1

+5V+5V

+5V

FF1 FF2 FF3

CLK

Start

DIGITALE TECHNIEKEN HOOFDSTUK 9 9. 18

Page 149: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Een toestandsmachine (finite state machine) is een digitale schakeling, waarbij de uitgangen een eindigaantal unieke toestanden doorlopen. Neem bijvoorbeeld een BCD teller. De schakeling doorloopt in func-tie van de tijd en onder invloed van een kloksignaal een welbepaalde cyclus. Een bepaalde uitgangscom-binatie noemen we een toestand of state. De volgende toestand wordt bepaald door de huidige toestand,de klok en eventueel het logisch niveau op een ingang (vb: op-af teller).

1. Blokschema (Mealy machine).

Algemeen bestaat een toestandsmachine uit drie deelfuncties.

Toestandsregisters.

De toestandsregisters bevatten enkel flipflops. Meestal zijn dit D of JK flipflops die synchroon werken methet kloksignaal CLK. Het aantal flipflops wordt bepaald door het aantal unieke toestanden dat de schake-ling kent. Voor een BCD teller volstaan vier flipflops (2 4 = 16 unieke combinaties). De uitgangen QTX zijn

rechtstreeks afkomstig van de flipflop. QNTx staat voor de nieuwe toestand van flipflop x. Deze informatie

wordt vervolgens herwerkt naar de flipflop ingangen Dx of Jx en Kx.

Voor een toestandsregister met D flipflops is m = n = aantal flipflops. Gebruiken we JF flipflops dan is m =2n = 2x aantal flipflops.

QNTx is afhankelijk van QTx en CLK.

Volgende toestandsdecoder.

De volgende toestand wordt bepaald door de huidige toestand en eventueel door een aantal ingangsvoor-waarden. Voor een op-af teller bijvoorbeeld volstaat één ingang om de telrichting aan te geven.

QNTx zijn afhankelijk van INx en QTx.

Volgende toestandsdecoder

Combinatorisch

Toestands-registers

Sequentieel

Uitgangs-decoder

Combinatorisch

Toestandsmachin

IN1 UIT0

Q Q

CLK

m n

NTx Tx

UIT1

UIT2

IN0

IN2

IN3

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 1

HOOFDSTUK 10Toestandsmachines.

Page 150: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Uitgangsdecoder.

De uitgangsdecoder bepaalt de uiteindelijke toestand van de verschillende uitgangen. Deze is afhankelijkvan de huidige toestand van de registers en eventueel van een aantal ingangsvoorwaarden. Door de in-gangsvoorwaarden mee te betrekken in de uitgangsdecoder zal deze niet meer synchroon reageren!Vooral bij gekoppelde toestandsmachines kan dit, omwille van de timingfouten die ontstaan, leiden tot eenongecontroleerd gedrag.Merk op dat bepaalde schakelingen (zoals de meeste tellers) geen uitgangsdecoder vereisen. De flipflopuitgangen worden dan rechtstreeks naar buiten gebracht.

OUTx zijn afhankelijk van QTx en eventueel van INx.

De uitgangsdecoder en de volgende toestandsdecoder bevatten uitsluitend combinatorische componen-ten. Wij beperken ons tot het gebruik van poorten. Afhankelijk van de toepassing kan men in het combina-torisch blok eventueel andere componenten verwerken zoals multiplexers, comparators enz…

2. Het toestandsdiagram.

Het toestandsdiagram (algoritmic state machine chart of ASM chart) beschrijft grafisch het functioneel ge-drag van de schakeling. Zodra de ontwerper het toestandsdiagram heeft opgesteld, ligt de verdere uitwer-king volledig vast. Het aantal flipflops en de verschillende toestandscodes worden hierin immers beschre-ven. Uit het toestandsdiagram volgt een toestandstabel die het combinatorisch gedeelte (de volgende toe-standsdecoder en de uitgangsdecoder) volledig beschrijft.Het toestandsdiagram bestaat uit drie functionele blokken die onderling met elkaar worden verbonden.

* Het toestandsblok.* Het beslissingsblok.* Het conditioneel uitgangsblok.

De pijlen in het diagram geven de richting aan waarin het systeem zich evolueert.

2.1 Het toestandsblok.

Het toestandsblok of state box wordt weergegeven door een rechthoek met één toekomend en één weg-gaand pad.

Het aantal toestandsblokken wordt bepaald door de functie van de schakeling. Zo zal een looplicht, datbestaat uit 6 lampen, ook 6 toestandsblokken bevatten. Om aan elk blok een unieke code toe te kennenzijn er 3 flipflops nodig (23 = 8 toestanden waarvan er 6 gebruikt worden.).

Buiten het toestandsblok vermelden we rechtsboven de bijhorende uitgangscode van de flipflops (QT2,QT1 en QT0) en linksboven de naam. Deze naam vergemakkelijkt enkel het "lezen" van het diagram enheeft verder geen betekenis.Indien er in het toestandsblok een uitgang wordt vermeld, betekent dit dat deze actief is zolang het sys-teem in deze toestand blijft.

010Lamp1 aan

L1

(QT2 QT1 QT0)state valuestate name

output name

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 2

Page 151: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

De overgang naar de volgende toestand gebeurt op bevel van de klok. (stijgende of dalende flank, afhan-kelijk van de gebruikte flipflops.)

Als voorbeeld beschouwen we het toestandsdiagram van een sequentie waarin twee LED's om beurtenoplichten en vervolgens gedurende één klokcyclus doven.

Drie toestanden (S0, S1 en S2) volstaan, dus gebruiken we twee flipflops. Aan elke toestand wordt, doorde ontwerper, een willekeurige code toegekend. Merk op dat er één code niet wordt gebruikt. In dit voor-beeld de code 10.

2.2 Het beslissingsblok.

Het beslissingsblok of decision box duidt op de invloed van een ingang op het systeem.

Het beslissingsblok wordt verbonden met één ingangspad en twee uitgangspaden (ingang is actief of nietactief) en fungeert dus als richtingaanwijzer. Afhankelijk van het ingangsniveau zal het te volgen pad wor-den aangegeven.

Als voorbeeld een LED sequentie waarbij, afhankelijk van de mode, LED1 of LED2 flikkert op het ritmevan de klok.

Merk op dat het niveau op de MODE ingang, op het moment dat de klok actief is, de volgende toestandbepaald vanuit START.

MODE = 1. Na START volgt toestand L2.MODE = 0. Na START volgt toestand L1.

IN 10 1

input nameinput = 0 input = 1

00S0

01S1

LED1

11S2

LED2

(QT1 QT0)

LED2

LED1

QT0

QT1

CLK

S0 S1 S2 S0 S1 S2 S0

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 3

Page 152: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

2.3 Het conditioneel uitgangsblok.

Het conditioneel uitgangsblok of de conditional output box wordt tussen een bepaald doorstroompad ge-plaatst en duidt aan dat de desbetreffende uitgang actief wordt.

OUT 0 output name

START L1 START L1 START L2 START L2 START

LED2

LED1

QT0

QT1

MODE

CLK

De verandering van "MODE" wordt nu pas verwerkt!

00START

MODE

01L1

LED1

10L2

LED2

0 1

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 4

Page 153: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Merk op dat een leeg toestandsblok, gevolgd door een conditioneel uitgangsblok, het zelfde betekent daneen toestandsblok waarbinnen de betreffende uitgang wordt gedefinieerd.

Wordt een conditioneel uitgangsblok voorafgegaan door een beslissingsblok, dan zal de uitgang pas ac-tief zijn vanaf het moment dat de betreffende ingang het juiste niveau aanneemt. De uitgang zal nuasynchroon bediend worden.

Indien MODE = 0 zal LED1 constant branden en LED2 altijd doven. Is MODE = 1 dan zullen beide LED'sflikkeren op het ritme van de klok.

00S0

10S2

LED1

MODE

LED2

01S1

LED1

0 1

000S1

OUT 1

000S1

OUT 1

=

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 5

Page 154: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

(1): Indien de MODE verandert gedurende toestand S0, dan blijft LED1 actief. LED2 komt actief zodra deMODE één wordt. Na de volgende actieve klokflank komen we in toestand S2 terecht.

(2): Verandert de MODE ingang gedurende toestand S0, dan zal LED2 deze verandering dadelijk vol-gen.

Uitgang LED1 verandert steeds synchroon in functie van de klok. LED2 reageert asynchroon.

3. De toestandstabel en het schema.

We zullen het opstellen van de toestandstabel bespreken aan de hand van een voorbeeld.Onder invloed van een MODE ingang zullen drie LED's (LED1, LED2 en LED3) om beurten worden geac-tiveerd (MODE = 0) of samen flikkeren op het ritme van de klok (MODE = 1).

Er zijn 3 (MODE = 0) + 2 (MODE = 1) = 5 unieke toestanden nodig. Dus 3 flipflops volstaan. De ontwerperis vrij het soort flipflops te kiezen.

Vervolgens stelt hij het toestandsdiagram op dat aan de gestelde eisen voldoet. Zo kan het niveau van deMODE na elke toestand worden getest of eenmaal per cyclus. We opteren voor het laatste.

Volgende toestanddecoder

Toestandregisters

Uitgangdecoder

Toestandmachine

LED3

QT0

CLK

LED2

LED1MODE

QT1

QT2

S0

LED2

LED1

QT0

QT1

MODE

CLK

S1 S0(1)

S2 S0(2)

S1

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 6

Page 155: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3.1 Oplossing met D flipflops (74175).

huidige volgende toestand toestand uitgangen

naam MODE QT2 QT1 QT0 QNT2 QNT1 QNT0 LED3 LED2 LED1

toest. D2 D1 D0

L000 (1) 0 0 0 0 0 0 1 0 0 0L000 (2) 1 0 0 0 1 1 1 0 0 0

L1 x 0 0 1 0 1 0 0 0 1L2 x 0 1 0 1 0 0 0 1 0

L3 (1) 0 1 0 0 0 0 1 1 0 0L3 (2) 1 1 0 0 1 1 1 1 0 0L123 x 1 1 1 0 0 0 1 1 1

001L1

LED1

010L2

LED2

100L3

LED3

111L123

LED1

000L000

MODE0 1

LED2

LED3

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 7

Page 156: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

De huidige toestandstabel beschrijft alle gebruikte combinaties van de ingang(en) (MODE) en flipflop uit-gangen (QT2…QT0) om naar een volgende toestand over te gaan. De bijhorende gegevens om in de vol-gende toestand (QNT2…QNT0) terecht te komen, worden beschreven in de volgende toestandstabel. Be-langrijker is de informatie die we de flipflop aanbieden. Een D flipflop neemt de data over op zijn uitgang(zie excitatietabel), vandaar dat QNTx = Dx. Vervolgens wordt bij elke huidige toestand de bijhorende toe-stand van de uitgangen (LED3…LED1) gedefinieerd.

Bij toestand L3 horen de volgende gegevens. De huidige toestand van de flipflops zijn QT2 = 1, QT1 = 0en QT0 = 0. Bij een MODE = 0 gaan we over naar toestand L1. Hiervoor geldt QNT2 = D2 = 0, QNT1 = D1= 0 en QNT0 = D0 = 1. Bij toestand L3 horen volgende uitgangen. LED3 = 1, LED2 en LED1 = 0. Deze lo-gica wordt beschreven in rij L3 (1). Is de MODE = 1 komen we, na toestand L3, in L123 terecht. Alle flipflops verwachten dan een 1 op hun Dingang. De bijhorende uitgangscombinaties blijven natuurlijk hetzelfde. Zie rij L3 (2).

Niet alle toestanden zijn afhankelijk van de MODE. Neem bijvoorbeeld toestand L2. Deze gaat altijd overnaar toestand L3. De MODE is onbelangrijk, vandaar dat er een don't care wordt ingevuld op rij L2.

Uit de toestandstabel volgen de vergelijkingen voor de verschillende functies. Voor de flipflop ingangen :D2, D1en D0. Voor de uitgangen : LED3, LED2 en LED1.

De verschillende karnaughkaarten worden ingevuld in functie van de huidige toestandstabel!

D1 QT1,QT0 D0 QT1,QT0

00 01 11 10 00 01 11 10

MODE,QT2 00 1 - MODE,QT2 00 1 -

01 - - 01 1 - -

11 1 - - 11 1 - -

10 1 1 - 10 1 -

QT1,QT0 D2 QT1,QT0

00 01 11 10 00 01 11 10

MODE,QT2 00 L000 (1) L1 - L2 MODE,QT2 00 - 1

01 L3 (1) - L123 - 01 - -

11 L3 (2) - L123 - 11 1 - -

10 L000 (2) L1 - L2 10 1 - 1

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 8

Page 157: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

C1

1D

R

7 4 … 1 70 0 0≥1

01

&

≥1

&

&

01

9

CKL

11

6

MODE 4D23

13 1514

5D1

12D0 10

LED1

7

LED2

2

LED3

1

volgende toestandsdecodtoestandsregisters

D Q Q Q MODE LED Q

D Q Q Q MODE LED Q

D Q Q LED Q

T T T T

T T T T

T T T

2 0 1 0 3 2

1 1 0 1 2 1

0 1 0 1 0

= + =

= + =

= =

LED1 QT1,QT0

00 01 11 10

MODE,QT2 00 1 -

01 - 1 -

11 - 1 -

10 1 -

LED3 QT1,QT0 LED2 QT1,QT0

00 01 11 10 00 01 11 10

MODE,QT2 00 - MODE,QT2 00 - 1

01 1 - 1 - 01 - 1 -

11 1 - 1 - 11 - 1 -

10 - 10 - 1

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 9

Page 158: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Merk op dat de uitgangen rechtstreeks van de flipflops afkomstig zijn. De uitgangsdecoder bevat dusgeen poorten.

3.2 Oplossing met JK flipflops (74112).

In een toestandstabel voor JK flipflops zal men de code van de nieuwe toestand moeten herwerken naarde J en K ingang van de betreffende flipflop. Hiervoor maken we gebruik van de excitatietabel.

Voor L000 (1) geldt : flipflop 2 en 1 behouden in de volgende toestand een 0 op hun uitgangen. Dit wordtbekomen door J = 0 en K = x. Flipflop 0 gaat van 0 naar 1, dus J = 1 en K = x.

huidige toest. volgende toest.QT QNT J K0 0 0 x0 1 1 x1 0 x 11 1 x 0

huidige volgende toestand toestand uitgangen

naam MODE QT2 QT1 QT0 QNT2 QNT1 QNT0 LED3 LED2 LED1

toest. J2 K2 J1 K1 J0 K0

L000 (1) 0 0 0 0 0 0 x 0 0 x 1 1 x 0 0 0L000(2) 1 0 0 0 1 1 x 1 1 x 1 1 x 0 0 0

L1 x 0 0 1 0 0 x 1 1 x 0 x 1 0 0 1L2 x 0 1 0 1 1 x 0 x 1 0 0 x 0 1 0

L3 (1) 0 1 0 0 0 x 1 0 0 x 1 1 x 1 0 0L3 (2) 1 1 0 0 1 x 0 1 1 x 1 1 x 1 0 0L123 x 1 1 1 0 x 1 0 x 1 0 x 1 1 1 1

LED3

LED2

LED1

D0

D1

D2

MODE

CKL

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 10

Page 159: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

J Q Q MODE LED Q

K MODE Q

J Q MODE LED Q

K

J Q LED Q

K

T T T

T

T T

T T

2 1 0 3 2

2 1

1 0 2 1

1

0 1 1 0

0

1

1

= + =

= += + ==

= ==

J0 QT1,QT0 K0 QT1,QT0

00 01 11 10 00 01 11 10

MODE,QT2 00 1 x - MODE,QT2 00 x 1 - x

01 1 - x - 01 x - 1 -

11 1 - x - 11 x - 1 -

10 1 x - 10 x 1 - x

J1 QT1,QT0 K1 QT1,QT0

00 01 11 10 00 01 11 10

MODE,QT2 00 1 - x MODE,QT2 00 x x - 1

01 - x - 01 x - 1 -

11 1 - x - 11 x - 1 -

10 1 1 - x 10 x x - 1

J2 QT1,QT0 K2 QT1,QT0

00 01 11 10 00 01 11 10

MODE,QT2 00 - 1 MODE,QT2 00 x x - x

01 x - x - 01 1 - 1 -

11 x - x - 11 - 1 -

10 1 - 1 10 x x - x

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 11

Page 160: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

4. Interpretatie van de verboden toestanden.

Zodra een schakeling niet gedefinieerde toestanden bevat, moet de ontwerper nagaan hoe de schakelingreageert bij het opstarten vanaf een onbepaalde toestand. Het is immers niet zo dat bij het opstarten alleflipflops automatisch vanaf "0" vertrekken. Er kan dus eender welke combinatie op de flipflop uitgangenverschijnen. De controle bestaat erin na te gaan of de schakeling, vanuit een verboden toestand, automatisch in dewerkingscyclus terecht komt. De kans bestaat immers dat twee verboden toestanden naar elkaar verwij-zen. We zeggen dan dat de schakeling "hangt".Er bestaan een aantal mogelijkheden om dit euvel weg te werken.

* Vul één of meerdere verboden toestanden zodanig in dat deze naar een gedefinieerde toestand verwij-zen.

* Stuur de set- of resetingangen zodanig (hardwarematig, met een RC-keten) dat, bij het opstarten vande schakeling, het circuit in de gewenste toestand terecht komt.

LED3

LED2

LED1

MODE

CLK

01

0 1 0

&

≥1

≥1

≥1

1

S1J

1KR

C1

7 4 … 1 1 2

S1J

1KR

C1

7 4 … 1 1 2

+5V

1

1

13

CLK 5

LED1

MODE5

LED3

9

LED2

3

2 6

11

3

7

6

1011

1214

9

713

4

215

4

15

10

1214

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 12

Page 161: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Het contoleren van de verboden toestanden gebeurt best via de karnaughkaarten.

Ken aan elke verboden toestand een naam toe (V1…V6).Ga vervolgens na of de verboden toestand als 1 of 0 wordt beschouwd in de karnaughkaart. Een 1 bete-kent dat de verboden toestand binnen een vereenvoudigingslus valt. Wordt de toestand niet opgenomenin een vereenvoudigingslus, betekent dit een 0.

Voor flipflop 2 vinden we:

In toestand V1 is zowel J als K omsloten door een vereenvoudigingslus. Beide zijn op dat moment 1. Van-daar dat flipflop 2 de volgende klokpuls toggelt, dus 1 zal worden.

Deze logica gaan we na voor alle verboden toestanden. Onderstaande tabel geeft het resultaat.

flipflop volgende ingangen toestand

verboden MODE QT2 QT1 QT0 J2 K2 J1 K1 J0 K0 QNT2 QNT1 QNT0 volgendetoestand toestand

V1 0 0 1 1 1 1 1 1 0 1 1 0 0 L3V2 0 1 0 1 0 1 1 1 1 1 0 1 0 L2V3 0 1 1 0 1 1 0 1 0 1 0 0 0 L000V4 1 0 1 1 1 1 1 1 0 1 1 0 0 L3V5 1 1 0 1 0 0 1 1 1 1 1 1 0 V6V6 1 1 1 0 1 1 1 1 0 1 0 0 0 L000

J2 QT1,QT0 K2 QT1,QT0

00 01 11 10 00 01 11 10

MODE,QT2 00 V1 1 MODE,QT2 00 x x V1 x

01 x V2 x V3 01 1 V2 1 V3

11 x V5 x V6 11 V5 1 V6

10 1 V4 1 10 x x V4 x

QT1,QT0 QT1,QT0

00 01 11 10 00 01 11 10

MODE,QT2 00 - MODE,QT2 00 V1

01 - - 01 V2 V3

11 - - 11 V5 V6

10 - 10 V4

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 13

Page 162: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

De schakeling start bijvoorbeeld op vanuit toestand V5. De volgende klokpuls zal flipflop 2 zijn toestandbehouden terwijl flipflop1 en 0 toggelen. Verandert de MODE niet, dan komen we in toestand V6 terecht.Na V6 volgt toestand L000. De schakeling zal vanaf nu de vooraf gedefinieerde cyclus doorlopen.

Vertrekkend vanaf toestand V3 komen we in de juiste cyclus terecht via L000.

Uit de tabel leiden we af dat er voor onze schakeling geen problemen optreden. Er is geen extra aanpas-sing nodig.

Hoe kunnen we de schakeling aanpassen om, tijdens het opstarten, vanuit een bepaalde toestand te ver-trekken?Voorzie op elke component een RC-keten die, via de reset- of setingang, de schakeling dwingt vanaf eenbepaalde toestand te starten.

Zodra de schakeling wordt opgestart, kan de condensator zich exponentieel opladen tot Vcc. Het logischeniveau dat hieraan wordt toegekend verandert, in een korte tijdspanne, van 0 tot 1. Zolang de schakelingopstaat blijft dit 1.

Wensen we onze schakeling te starten vanaf toestand L100, dan bekomen we volgend schema.

+Vcc

de schakeling wordt opgestart

0 1

VxVx

LED1 = QT0LED2 = QT1LED3 = QT2

V3 L000 L1 L2 L3 L1

LED3

LED2

LED1

MODE

CLK

LED1 = QT0LED2 = QT1LED3 = QT2

LED3

LED2

LED1

MODE

CLK

V5 V6 L000 L123 L000 L123

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 14

Page 163: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Flipflop 2 wordt initieel geset terwijl flipflop 1 en 0 op dat moment gereset worden.

Indien een schakeling wordt uitgebreid met een dergelijke set/reset sturing is het niet meer nodig het ef-fect van de verboden toestanden na te gaan. We zijn immers zeker dat deze zich niet kunnen voordoen.Merk op dat een aantal flipflopcomponenten enkel een resetingang bezitten. Dan is het wel belangrijk datmen de toestand van waaruit men wenst op te starten steeds als 0…0 definieert.

5. Een toestandsmachine volgens More.

Volgens More worden de uitgangen in een sequentieel systeem steeds aan een toestand gekoppeld.Hierdoor blijft een uitgang actief gedurende minstens één periode van de klok. De uitgangswaarden ver-anderen dus synchroon en zo hoort het ook. Een asynchroon systeem is veel minder betrouwbaar daneen synchroon systeem en wordt dus beter vermeden.Alle toepassingen die volgen, worden opgelost volgens het More principe.

Het toestandsdiagram bestaat nog steeds uit toestands-, beslissings- en conditionele uitgangsblokken.Een beslissingsblok gevolgd door een conditioneel uitgangsblok zorgt voor het asynchroon gedrag vaneen uitgang en wordt dus nooit gebruikt.

01

1 0 0

&

≥1

≥1

≥1

1

S1J

1KR

C1

7 4 … 1 1 2

S1J

1KR

C1

7 4 … 1 1 2

+5V +5V

1

1

13

CLK 5

LED1

MODE5

LED3

9

LED2

3

2 6

11

3

7

6

1011

1214

9

713

4

2

15

10

1214

4

15

Vx

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 15

Page 164: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

6. Algemene oplosmethode.

* Beschrijf het ontwerp in een nauwkeurig opgesteld toestandsdiagram. Vermijd asynchrone uitgangen!* Ken aan elk toestandsblok een unieke code toe. Het aantal flipflops wordt bepaald door:

- het aantal toestanden (aantal toestanden ≤ 2 aantal flipflops). De schakeling bevat in de meestegevallen een uitgangsdecoder.

- het aantal uitgangen (aantal flipflops = aantal uitgangen). De schakeling bevat geen uitgangsde-coder.

* Kies een type flipflop.* Stel een toestandstabel op. Deze beschrijft, in functie van de ingangen en de huidige toestand, het lo-

gisch niveau van de uitgangen en de informatie die elke flipflop nodig heeft om in de volgende toestandterecht te komen.

* Vereenvoudig de vergelijkingen.* Ga na of het systeem al dan niet vastloopt indien het vanaf een verboden toestand vertrekt. Voorzie

eventueel een automatische set/reset sturing bij het opstarten.* Construeer of simuleer de schakeling om de juiste werking te controleren.

7. Enkele uitgewerkte voorbeelden.

7.1 3-bit Johnsonteller.

Een Johnsonteller, of gekruiste ringteller, is een teller waarbij de bits elkaar univariant opvolgen. Het toe-standsdiagram ziet er als volgt uit.

De schakeling wordt uitgewerkt met D flipflops (1 x 74157). Uit de toestandstabel volgt:

001S1

011S3

111S7

110S6

100S4

000S0

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 16

Page 165: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Voor de verboden toestanden geldt:

Het schema is op deze manier niet bruikbaar. Beide verboden toestanden verwijzen naar elkaar en isdaardoor niet zelfstartend.Een mogelijke oplossing bestaat erin dat één van beide verboden toestanden naar een geldige toestandverwijst. S2 verwijst bijvoorbeeld naar S7.

huidige volgende toestand toestand

naam QT2 QT1 QT0 QNT2 QNT1 QNT0

toest. D2 D1 D0

S2 0 1 0 1 0 1S5 1 0 1 0 1 0

D Q

D Q

D Q

T

T

T

2 1

1 0

0 2

==

=

QT0 D2 QT0 D1 QT0 D0 QT0

0 1 0 1 0 1 0 1

QT2,QT1 00 S0 S1 QT2,QT1 00 QT2,QT1 00 1 QT2,QT1 00 1 1

01 S2 S3 01 - 1 01 - 1 01 - 1

11 S6 S7 11 1 1 11 1 11

10 S4 S5 10 - 10 - 10 -

huidige volgende toestand toestand

naam QT2 QT1 QT0 QNT2 QNT1 QNT0

toest. D2 D1 D0

S0 0 0 0 0 0 1S1 0 0 1 0 1 1S3 0 1 1 1 1 1S4 1 0 0 0 0 0S6 1 1 0 1 0 0S7 1 1 1 1 1 0

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 17

Page 166: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

T0

T1

T2

CLK

Q

Q

C1

1D

R

7 4 … 1 7

1 1 0

01

&≥1

9CLK

2

T2

4

7

T1

610

T0

11

13

1

1514

12

3

5

D Q

D Q Q Q

D Q

T

T T T

T

2 1

1 0 2 1

0 2

=

=

=

+

QT0 D2 QT0 D1 QT0 D0 QT0

0 1 0 1 0 1 0 1

QT2,QT1 00 S0 S1 QT2,QT1 00 QT2,QT1 00 1 QT2,QT1 00 1 1

01 S2 S3 01 1 1 01 1 1 01 1 1

11 S6 S7 11 1 1 11 1 11

10 S4 S5 10 - 10 - 10 -

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 18

Page 167: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

7.2 Looplicht.

Ontwerp een schakeling waarbij vijf LED's om beurten oplichten. De uitgangen zijn laag actief. Met behulpvan een MODE ingang wordt de looprichting bepaald.

MODE = 0: rechts circulerend ( L5, L4, L3, L2, L1, L5, …).MODE = 1: rechts - links ( L5, L4, L3, L2, L1, L2, L3, L4, L5, L4, L3,…).

De schakeling wordt opgebouwd rond een 74175.

De toestand van MODE wordt enkel getest indien L1 brandt.

Drie flipflops volstaan om de acht unieke toestanden te beschrijven.

101S5

L5

100S4

L4

011S3

L3

010S2

L2

001S1

L1

MODE

000S21

L2

110S31

L3

111S41

L4

1

0

L5 L4 L3 L2 L1

CLK

Mode

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 19

Page 168: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

D Q Q Q Q Q Q Q MODE Q Q

D Q Q Q Q Q Q Q

D Q Q Q Q Q Q MODE Q Q Q

T T T T T T T T T

T T T T T T T

T T T T T T T T T

2 2 1 2 0 2 1 0 2 1

1 1 0 2 1 0 2 0

0 1 0 2 1 2 0 2 1 0

= + + +

= + +

= + + +

D1 QT1,QT0 D0 QT1,QT0

00 01 11 10 00 01 11 10

MODE,QT2 00 1 1 MODE,QT2 00 1 1

01 1 1 01 1 1 1

11 1 1 11 1 1 1

10 1 1 10 1

QT1,QT0 D2 QT1,QT0

00 01 11 10 00 01 11 10

MODE,QT2 00 S21 S1(1) S3 S2 MODE,QT2 00 1 1

01 S4 S5 S41 S31 01 1 1 1

11 S4 S5 S41 S31 11 1 1 1

10 S21 S1(2) S3 S2 10 1

huidige volgende toestand toestand uitgangen

naam MODE QT2 QT1 QT0 QNT2 QNT1 QNT0 L5 L4 L3 L2 L1

toest. D2 D1 D0

S5 x 1 0 1 1 0 0 0 1 1 1 1S4 x 1 0 0 0 1 1 1 0 1 1 1S3 x 0 1 1 0 1 0 1 1 0 1 1S2 x 0 1 0 0 0 1 1 1 1 0 1

S1 (1) 0 0 0 1 1 0 1 1 1 1 1 0S1 (2) 1 0 0 1 0 0 0 1 1 1 1 0S21 x 0 0 0 1 1 0 1 1 1 0 1S31 x 1 1 0 1 1 1 1 1 0 1 1S41 x 1 1 1 1 0 1 1 0 1 1 1

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 20

Page 169: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

.

L Q Q Q

L Q Q Q

L Q Q Q

L Q Q

L Q Q Q

T T T

T T T

T T T

T T

T T T

5

4

3

2

1

2 1 0

2 1 0

1 2 0

2 0

2 1 0

=

= + ⊕

= + ⊕= +

=

L1 QT1,QT0

00 01 11 10

MODE,QT2 00 1 1 1

01 1 1 1 1

11 1 1 1 1

10 1 1 1

L3 QT1,QT0 L2 QT1,QT0

00 01 11 10 00 01 11 10

MODE,QT2 00 1 1 1 MODE,QT2 00 1 1

01 1 1 1 01 1 1 1 1

11 1 1 1 11 1 1 1 1

10 1 1 1 10 1 1

L5 QT1,QT0 L4 QT1,QT0

00 01 11 10 00 01 11 10

MODE,QT2 00 1 1 1 1 MODE,QT2 00 1 1 1 1

01 1 1 1 01 1 1

11 1 1 1 11 1 1

10 1 1 1 1 10 1 1 1 1

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 21

Page 170: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

100 200

L1

L2

L3

L4

L5

D0

D1

D2

QT0

QT1

QT2

MODE

CLK

01

C1

1D

R

7 4 … 1 7

≥1=1

=1 1≥1

≥1

&

&

+5V

≥1

≥1

≥1

&

&

&

01

&&

1

&

&

&

&

&

13

9

CLK 1

1514

MODE

32

QT2

67

QT1

10

QT0

4D2

5D1

12D0

L5

L4

L3

L2

L1

11

= pin 2

= pin 7

= pin 10

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 22

Page 171: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

7.3 Spoorwegsignalisatie.

Een bewaakte spoorwegovergang kan als volgt gedefinieerd worden.Twee sensoren, één voor en één na de spoorwegovergang, bepalen de stand van de lichtsignalisaties.Zodra de trein in zicht komt, geeft de eerste sensor (SV) een impuls die dadelijk het rode flikkerlicht aan-stuurt. Deze toestand blijft behouden totdat de trein de volgende sensor (SN) passeert en het witte flikker-licht actief zet.Verder zijn beide sensoren gedurende minstens één klokpuls actief.Ontwerp de schakeling met JK flipflops (74112).

huidige volgende toestand toestand uitgangen

naam SV SN QT1 QT0 QNT1 QNT0 R1 R2 Wtoest. J1 K1 J0 K0

WIT x x 0 0 0 0 x 1 1 x 0 0 1NIETS (1) 0 x 0 1 0 0 x 0 x 1 0 0 0NIETS (2) 1 x 0 1 1 1 x 1 x 0 0 0 0ROOD1 x x 1 1 1 x 0 0 x 1 1 0 0

ROOD2 (1) x 0 1 0 1 x 0 1 1 x 0 1 0ROOD2 (2) x 1 1 0 0 x 1 0 0 x 0 1 0

R1 = rood licht 1R2 = rood licht 2W = wit licht

SV = sensor voorSN = sensor na

00WIT

W

01

SV

11ROOD1

R1

10NIETS

SN0 1 0 1

ROOD2

R2

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 23

Page 172: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

W QT1,QT0

00 01 11 10

SV,SN 00 1

01 1

11 1

10 1

J SV Q

K SN Q

J SN Q

K SV Q

R Q Q

R Q Q

W Q Q

T

T

T

T

T T

T T

T T

1 0

1 0

0 1

0 1

1 0

1 0

1 0

1

2

=

=

= +

= +=

=

=

.

R1 QT1,QT0 R2 QT1,QT0

00 01 11 10 00 01 11 10

SV,SN 00 1 SV,SN 00 1

01 1 01 1

11 1 11 1

10 1 10 1

J0 QT1,QT0 K0 QT1,QT0

00 01 11 10 00 01 11 10

SV,SN 00 1 x x 1 SV,SN 00 x 1 1 x

01 1 x x 01 x 1 1 x

11 1 x x 11 x 1 x

10 1 x x 1 10 x 1 x

J1 QT1,QT0 K1 QT1,QT0

00 01 11 10 00 01 11 10

SV,SN 00 x x SV,SN 00 x x

01 x x 01 x x 1

11 1 x x 11 x x 1

10 1 x x 10 x x

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 24

Page 173: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

8. Toepassingen.

1. Flikkerlicht.

Ontwerp een schakeling die voldoet aan het toestandsdiagram van pagina 4.* met D flipflops.

huidige volgende toestand toestand uitgangen

naam MODE QT1 QT0 QNT1 QNT0 LED2 LED1

toest. D1 D0

100 200

W

R2

R1

QT0

QT1

SN

SV

CLK

S1J

1KR

C1

7 4 … 1 1 2 0 0 1

&

&

&

01

01

01

1

1

&

&

≥1

≥1

14

10

15

4

13

1CLK

R1 R2 W

SV

9QT0

3

SN

7

2

5QT1

12

6

11

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 25

Page 174: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

* met JK flipflops.

Starten beide schakelingen op vanaf de verboden toestand ?

2. "101" herkenner.

Ontwerp een schakeling die uit een seriële bitstroom, op het ritme van de klok, de code "101" herkent.Ontwerp de schakeling met D flipflops.

huidige volgende toestand toestand uitgang

naam Data QT1 QT0 QNT1 QNT0 "101"toest. D1 D0

Klok

Data

"101"?

00Start

Data

01H1

Data

11H10

Data

10H101

"101"

Data

0

1

1 0

0

1

1

0

huidige volgende toestand toestand uitgangen

naam MODE QT1 QT0 QNT1 QNT0 LED2 LED1

toest. J1 K1 J0 K0

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 26

Page 175: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3. Elektronische Dobbelsteen.

De schakeling stuurt 7 LED's, die in de vorm van dobbelsteenogen worden geplaatst. Op een hogeklokfrequentie worden de verschillende toestanden (1,…6,1,…) na elkaar doorlopen. Een schakelaarstaat in serie met de klok. Staat deze open, dan stopt de dobbelsteen op een (pseudo) willekeurig ge-tal. Merk op dat een aantal LED's dezelfde functie beschrijven. Vier uitgangen volstaan dus.Ontwerp de schakeling met een 74175. Deze bevat 4 D flipflops. Dit volstaat dus om de schakeling teontwerpen zonder uitgangsdecoder! Stel de verschillende functies op en sluit tevens de LED's correctaan.De schakeling bevat vrij veel verboden toestanden, vandaar dat we best een hardware resetsturingvoorzien. Omdat de code 0000 (na een reset) niet tot de cyclus behoort, laten we deze verwijzen naareen geldige toestand, bijvoorbeeld Dob1.

huidige volgende toestand toestand uitgangen

naam QT3 QT2 QT1 QT0 QNT3 QNT2 QNT1 QNT0 D C B Atoest. D3 D2 D1 D0

reset 0 0 0 0

Dob1

A

Dob2

B

Dob3

A,C

Dob6

Dob5

Dob4

. . . . . ....... .......

Dob1 Dob2 Dob3

Dob4 Dob5 Dob6

AB C

D D

C B

DIGITALE TECHNIEKEN HOOFDSTUK 10 10. 27

Page 176: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Een teller is een sequentiële schakeling die een aantal klokpulsen telt en volgens een bepaalde code opde uitgangen brengt. We spreken van een synchrone teller indien alle uitgangen op dezelfde klokflankvan toestand veranderen. Bij een asynchrone teller treden er kleine tijdsverschillen op tussen de ver-schillende uitgangsveranderingen.Asynchrone tellers zijn vaak de oorzaak van een slecht werkende schakeling. Bij het combineren van eenaantal telleruitgangen tot één signaal, ontstaan er vaak spikes (korte, ongewilde spanningspulsen). Alsvoorbeeld geven we het tijdsdiagram van een 4-bit binaire teller. Vergelijk het resultaat van F (Q0 EXORQ1), bekomen uit een asynchrone (links) en synchrone teller (rechts).

De spikes zijn in bovenstaande simulatie sterk overdreven. In werkelijkheid zijn ze enkele nanosecondenbreed.Indien F een LED stuurt, kan dit eventueel asynchroon gebeuren. De spikes zijn immers niet zichtbaar.Dient F als kloksignaal voor een flipflop, dan ontstaan er wel degelijk problemen. Asynchroon zijn er teveel (ongewilde) flanken.

1. Geïntegreerde synchrone tellers.

Tellers krijgen binnen het symbool de afkorting "CTR" mee. Een aantal tellers zijn volledig synchroon. Demeeste bezitten eveneens een aantal extra faciliteiten: reset, load, RCO, … Als voorbeeld bespreken we een aantal binaire en BCD-tellers uit de TTL-reeks.

1.1 74xx160 (BCD decade counter).

7 4 . . . 1 6 0

CTRDIV10CT=0M1

G3G4C5/2,3,4+

3CT=9

1,5D

M2

[1]

[2]

[4]

[8]

7 4 L S 1 6 0

CTRDIV10CT=0M1

G3G4

C5/2,3,4+

3CT=9

1,5D

M2

[1]

[2]

[4]

[8]

1

2

3

4

5

6 11

13

14

12

9

710 15

1

2

3

4

5

6 11

13

14

12

9

710 15

QD

QC

QB

QA

RCO

D

C

B

A

CLKENPENT

LOAD/

CLR/

F

Q3

Q2

Q1

Q0

CLK

F

Q3

Q2

Q1

Q0

CLK

DIGITALE TECHNIEKEN HOOFDSTUK 11 11. 1

HOOFDSTUK 11Tellers.

Page 177: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

De 74xx160 is een BCD-opteller. DIV 10 staat voor 10-deler, ofwel 10 telcombinaties vertrekkend vanaf0000. Afhankelijk van de gebruikte technologie (LS, HCT, …) zijn de flipflops flankgevoelig of master/sla-ve. Voor de gebruiker is dit niet belangrijk. De telleruitgangen (QA (LSB) … QD (MSB)) veranderen voorbeide technologieën op de stijgende flank van de klok. Het gewicht dat elke bit vertegenwoordigt wordtaangegeven met een getal tussen rechte haakjes, binnen elke flipflopcel.

De uitgangen veranderen enkel van toestand bij een stijgende klokflank, op voorwaarde dat zowel ENT,ENP (EN = enable) en LOAD/ hoog zijn. Indien aan één van deze vier voorwaarden niet wordt voldaan,stopt de teller en blijven de uitgangen onveranderd.

CLR/ staat voor een asynchrone clear.Uitgang RCO (Ripple Carry Out) komt hoog zodra de teller op 9 (1001) staat en ENT = 1.

Bij een LOAD/=0 wordt de teller "geladen" met de waarde op ingangen A…D. Op de volgende stijgendeklokflank verschijnt de ingelezen waarde op de uitgangen. Deze optie laat de gebruiker toe de 74xx160als willekeurige teller van xxxx tot 1001 te gebruiken (met xxxx van 0000 tot 1001).

Bij een cascadeschakeling van meerdere 74xx160 tellers stuurt RCO de enable voor de meerbeduidendeteller. Onderstaand schema beschrijft een 3-digit BCD-teller.

Ontwerp zelf een teller die volgende cyclus doorloopt: 0011, 0100, 0101, 0110, 0111, 0011, …

7 4 L S 1 6 0

CTRDIV10CT=0M1

G3G4

C5/2,3,4+

3CT=9

1,5D

M2

[1]

[2]

[4]

[8]

7 4 L S 1 6 0

CTRDIV10CT=0M1

G3G4

C5/2,3,4+

3CT=9

1,5D

M2

[1]

[2]

[4]

[8]

7 4 L S 1 6 0

CTRDIV10CT=0M1

G3G4

C5/2,3,4+

3CT=9

1,5D

M2

[1]

[2]

[4]

[8]

+5V +5V +5V

3

4

5

6 11 B03

13 B01

14 B00

12 B02

1510

7

3

4

5

6 11 B13

13 B11

14 B10

12 B12

199

1

710

91

15 107222

3

4

5

6 11 B23

13 B21

14 B20

12 B22

15CLK

QD

QC

QB

QA

CLK

RCO

ENP

ENT

LOAD/

CLR/

A

B

C

D

tellen clear tellen load (7) tellen stop tellen

DIGITALE TECHNIEKEN HOOFDSTUK 11 11. 2

Page 178: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

1.2 74xx161 (4-bit binary counter).

Een 74xx161 is een 16-deler (DIV 16), ofwel een 4-bit binaire opteller. De ripple carry reageert op de uit-gangscode 1111. Verder zijn alle functies binnen de 74xx161 identiek aan deze van de 74xx160.

1.3 74xx162 en 74xx163.

Een 74xx162 is vergelijkbaar met een 160 (BCD decade counter), een 163 vergelijkbaar met een 161 (4-bit binary counter). Het enige verschil is de synchrone clear of reset (5 CT=0 in plaats van CT=0).Onderstaand tijdsdiagram geeft het verschil tussen een synchrone en een asynchrone reset.

Teken zelf het schema van een 6-teller (0000…0101) met een 74xx160 en vervolgens met een 74xx162.Maak hierbij gebruik van de clear.

1.4 74xx190 (up/down decade counter).

De 74xx190 is een synchrone BCD-op/afteller. Ingang D/U bepaalt de telrichting. CTEN/ staat voor coun-tenable. Is deze niet actief, dan stopt de teller.Aftellen: op de stijgende flank van de klok, indien CTEN/ = 0 en D/U = 1.Optellen: op de stijgende flank van de klok, indien CTEN/ = 0 en D/U = 0.

CLR/

CLK

clear 162 en 163

clear 160 en 161

7 4 . . . 1 6 2

CTRDIV105CT=0M1

G3G4C5/2,3,4+

3CT=9

1,5D

M2

[1]

[2]

[4]

[8]

7 4 . . . 1 6 3

CTRDIV165CT=0M1

G3G4C5/2,3,4+

3CT=15

1,5D

M2

[1]

[2]

[4]

[8]

27

10 15

91

3

4

5

6

14

12

11

13

27

10 15

91

3

4

5

6

14

12

11

13

7 4 . . . 1 6 1

CTRDIV16CT=0M1

G3G4C5/2,3,4+

3CT=15

1,5D

M2

[1]

[2]

[4]

[8]

7 4 L S 1 6 1

CTRDIV16CT=0M1

G3G4

C5/2,3,4+

3CT=15

1,5D

M2

[1]

[2]

[4]

[8]

1

2

3

4

5

6 11

13

14

12

9

710 15

1

2

3

4

5

6 11

13

14

12

9

710 15

DIGITALE TECHNIEKEN HOOFDSTUK 11 11. 3

Page 179: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

De LOAD/ laadt de filpflops met het aangeboden getal. Merk op dat deze onafhankelijk van de klok rea-geert. De uitgangen nemen de nieuwe waarde over op de stijgende klokflank.

De toestand van MAX/MIN is afhankelijk van de telrichting. Voor de opteller wordt deze uitgang 1 bij eentellerstand van 9 (1001= teller op maximum ). Voor de afteller is dit getal 0 (0000 = teller op minimum). Z6binnen het symbool geeft een inwendige doorverbinding met RCO/. Deze wordt 0 indien MIN/MAX = 1,CTEN/ = 0 en CLK =0.In onderstaand tijdsdiagram wordt op een bepaald moment getal 6 (0110) geladen.

Onderstaande schakeling geeft een op- aftelcyclus tussen getallen 3 en 8.De U/D ingang wordt gestuurd langs een JK-flipflop. Op het moment dat getal 8 van de telleruitgangen verschijnt, wordt de flipflop geset (U/D = 1). Bij de vol-gende actieve klokflank start het aftellen. Getal 3 reset de flipflop (U/D = 0) en brengt ons naar de optelcy-clus. Daar niet alle combinaties voorkomen, leiden we de vergelijkingen van J en K af uit een Karnaughkaart.

Merk op dat de actieve flank van de flipflop tegengesteld is aan de actieve flank van de teller. Is dit niethet geval, dan reageren beiden op hetzelfde tijdstip. De verandering van U/D komt dan een fractie te laat.

RCO

MAX/MIN

QD

QC

QB

QA

LOAD/

D/U

CLK

aftellen load (6) aftellen optellen

7 4 . . . 1 9 0CTRDIV10

C5

M2[D]G1

G41,2-/1,3+

5D

2(CT=0)Z6

6,1,4

+ -

M3[U]3(CT=9)Z6

[1]

[2]

[4]

[8]

7 4 A L S 1 9 0CTRDIV10

C5

M2[D]G1

G41,2-/1,3+

5D

2(CT=0)Z6

6,1,4

+ -

M3[U]3(CT=9)Z6

[1]

[2]

[4]

[8]

15

10

1

9

11

1413

3

2

6

7

45

12

15

10

1

9

11

1413

3

2

6

7

45

12MAX/MIN

RCO/

QA

QB

QC

QD

CTEN/D/U

CLK

LOAD/

A

B

C

D

DIGITALE TECHNIEKEN HOOFDSTUK 11 11. 4

Page 180: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Uit het tijdsdiagram blijkt duidelijk dat het systeem in zijn werkcyclus terecht komt, ook al start deze opvanaf 0.

De spikes die verschijnen op de K-ingang van de flipflops zijn afkomstig van de poortvertragingen die aande K voorafgaan. Indien we gebruik zouden maken van een SR-flipflop, dan worden deze ook zichtbaarop U/D. De teller wordt hierdoor niet verstoord. De toestand van U/D wordt enkel geïnterpreteerd op destijgende klokflank.De reden waarom we geen SR-flipflop nemen ligt elders. Uit het tijdsdiagram zien we dat zowel J en K (Sen R dus) gelijktijdig actief worden en vervolgens samen op 0. Deze volgorde brengt U/D in een niet tevoorspellen toestand. De volledige schakeling is onvoorspelbaar, dus onbruikbaar.

200

Q0

Q1

Q2

Q3

U/D

CLK

K

J

7 4 . . . 1 9 0CTRDIV10

C5

M2[D]G1

G41,2-/1,3+

5D

2(CT=0)Z6

6,1,4

+ -

M3[U]3(CT=9)Z6

[1]

[2]

[4]

[8]

+5V

1

+5V

S1J

1KR

C1&

13

124

2 Q1

6 Q2

14CLK

5

U/D

15

1

10

11

9

3 Q0

K

7 Q3

J

J Q1,Q0 K Q1,Q0

00 01 11 10 00 01 11 10

Q3,Q2 00 x x x Q3,Q2 00 x x 1 x

01 01

11 - - - - 11 - - - -

10 1 x - - 10 x - -

DIGITALE TECHNIEKEN HOOFDSTUK 11 11. 5

Page 181: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

1.5 74xx191, 74xx192 en 74xx193.

Vergelijk de drie tellers met de 74xx190.

2. Geïntegreerde asynchrone tellers.

De meeste geïntegreerde tellers zijn semi-asynchroon. Hiermee bedoelen we dat één component meer-dere synchrone tellers bevat, elk met een eigen klokingang. Een aantal extra functies zoals clear e.d. zijngemeenschappelijk. Door de verschillende tellers te combineren ontstaat een asynchrone teller. Als voor-deel noteren we dat het aantal telcombinaties groter is dan met een volledig synchroon geïntegreerde tel-ler. In deze paragraaf bespreken we een aantal tellers uit de TTL-reeks, gevolgd door de populaire 4040 en4060.

2.1 74xx90 (decade counter).

De 74xx90 bevat één 2- en één 5-deler. De reset en preset beïnvloeden beide tellers.

Indien beide R0(x)-ingangen 1 zijn, worden alle telleruitgangen asynchroon gereset.

Zijn beide R9(x)-ingangen 1, dan komt de teller, asynchroon, op 9 (preset naar 9). De AND-functie is in-wendig verbonden met beide tellers. De 2-teller komt op 1 en de 5-teller op 4. Interpreteer alle uitgangenals één geheel en lees QD…QA = 1001.

7 4 . . . 9 0

CT=0

CTR

+

0

&

& Z3

DIV2

3CT=1DIV5+

2CT

3CT=4

12

1 9811

67

23

14

R0(1)R0(2)

R9(1)R9(2)

CLKA

CLKB

QA

QBQCQD

QA

CLKA

QB

QC

QD

CLKB

7 4 . . . 1 9 1CTRDIV16

C5

M2[D]G1

G41,2-/1,3+

5D

2(CT=0)Z6

6,1,4

+ -

M3[U]3(CT=15)Z6

[1]

[2]

[4]

[8]

7 4 . . . 1 9 2

CTRDIV10

C3

2+G1

3D

2CT=0

1CT=9

G21-

CT=0

[1]

[2]

[4]

[8]

7 4 . . . 1 9 3

CTRDIV16

C3

2+G1

3D

2CT=0

1CT=15

G21-

CT=0

[1]

[2]

[4]

[8]

2

7

15

10

1

9

11

3

6

45

14

12

13

15

1

10

9

11

13

125

4

14

7

6

2

3 3

5

15

12

13

1 2

610

79

14

11

4

DIGITALE TECHNIEKEN HOOFDSTUK 11 11. 6

Page 182: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Door QA te verbinden met CLKB ontstaat een BCD-teller. QD verbinden met CLKA geeft een teller vol-gens de bi-quinary code.

De verschillende combinaties die de 74xx90 toelaat zijn:DIV2: 2-teller.DIV5: 2-, 4- en 5-teller.DIV2 in combinatie met DIV5: 4-, 8- en 10-teller.

QD QC QB QA QD QC QB QA

0 0 0 0 0 0 0 00 0 0 1 0 0 1 00 0 1 0 0 1 0 00 0 1 1 0 1 1 00 1 0 0 1 0 0 00 1 0 1 0 0 0 10 1 1 0 0 0 1 10 1 1 1 0 1 0 11 0 0 0 0 1 1 11 0 0 1 1 0 0 10 0 0 0 0 0 0 00 0 0 1 0 0 1 00 0 1 0 0 1 0 0

7 4 . . . 9 0

CT=0

CTR

+

0

&

& Z3

DIV2

3CT=1DIV5+

2CT

3CT=4

7 4 . . . 9 0

CT=0

CTR

+

0

&

& Z3

DIV2

3CT=1DIV5+

2CT

3CT=4

14

9 QB9 QB 8 QC8 QC

76

23

76

23

1

14

11 QD11 QD

12 QA

1

12 QA

CLK

Figuur A

CLK

Figuur B

QB

QC

QD

CLKB

QA

CLKA

R9(2)

R9(1)

R0(2)

R0(1)

reset preset

QB

QC

QD

CLKB

QA

CLKA

R9(2)

R9(1)

R0(2)

R0(1)

DIGITALE TECHNIEKEN HOOFDSTUK 11 11. 7

Page 183: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

2.2 74xx92 (divide by 12 counter).

De 74xx92 bevat drie tellers. Twee met een eigen klokingang en een derde waarvan de klok afhankelijk isvan uitgang QC.

De onderste teller reageert op de stijgende flank van /QC (= dalende flank van QC).Verder is er een gemeenschappelijke reset voorzien.Vervolledig zelf de toestandstabel indien CLKB = QA en indien CLKA = QD.

QD QC QB QA QD QC QB QA

0 0 0 0 0 0 0 0

7 4 . . . 9 2

CT=0

CTR

+

0

&

DIV2

DIV3

+1Z4

CT

DIV24+

7 4 . . . 9 2

CT=0

CTR

+

0

&

DIV2

DIV3

+1Z4

CT

DIV24+

111

12

8

9

14 12

11

67

67

91

14

8

QA

QB

QC

QD

QA

QB

QC

QD

7 4 . . . 9 2

CT=0

CTR

+

0

&

DIV2

DIV3

+1Z4

CT

DIV24+

14 12

111

8

76

9

R0(1)

R0(2)

CLKA

CLKB

QA

QB

QC

QD

QA

CLKA

QD

QC

QB

CLKB

DIGITALE TECHNIEKEN HOOFDSTUK 11 11. 8

Page 184: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

2.3 4040 (12-stage binary counter).

De 4040 is een 12-bit, volledig asynchrone, binaire teller met een gemeenschappelijke reset.

Een gedeelte van de uitgangen zijn opgenomen in het tijdsdiagram. Let vooral op de tijdsvertragingen bijde overgang van 000000111111 naar 000001000000.Het inwendig schema is vrij eenvoudig. De uitgang van een minder beduidende flipflop stuurt de klokin-gang van de volgende meer beduidende flipflop.

2.4 4060 (14-stage binary counter/ divider and oscillator).

De 4060 is een 14-bit, volledig asynchrone, binaire teller met een gemeenschappelijke reset. De flipflopstructuur is identiek aan deze van de 4040, met uitzondering dat niet alle flipflopuitgangen uitwendig be-schikbaar zijn (Q0, Q1, Q2 en Q10).

De 4060 is tevens in staat een eigen blokgolf te genereren, met behulp van een kristal of met een RC-ke-ten. De verschillende mogelijkheden worden hieronder weergegeven.

+

CT = 0

CTR14

4 0 6 0

3

13

CT

CXRXRCX

!G

911

5 Q4

13 Q8

7 Q3

14 Q7

6 Q6

4 Q5

15 Q91 Q11

109

3 Q13

2 Q12

1211

CLK

200

Q4

Q3

CLK

S

1DR

C1S

1DR

C1S

1DR

C1S

1DR

C11

1

+5V

…CLK

R

Q0 Q1 Q2 Q11

+

CT = 0

CTR12

4 0 4 0

0

11

CT

11

10CLK 7 Q1

9 Q0

6 Q2

3 Q4

12 Q8

15 Q10

5 Q3

13 Q7

4 Q6

2 Q5

1 Q11

14 Q9

Q7

Q6

Q5

Q4

Q3

Q2

Q1

Q0

CLK

DIGITALE TECHNIEKEN HOOFDSTUK 11 11. 9

Page 185: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Zowel de 4040 als de 4060 worden dikwijls in een schakeling verwerkt als frequentiedeler.

3. Ontwerpen van synchrone tellers.

In bepaalde toepassingen kan het voorkomen dat een geïntegreerde teller niet aan de gestelde eisen vol-doet. Dan zal de ontwerper zelf zijn teller uitwerken met flipflops (JK of D).Beschouw het ontwerpen van een teller als een toepassing op toestandsmachines (hoofdstuk 10). In bij-horende voorbeelden wordt het toestandsdiagram weggelaten. De logica van een teller is meestal eendui-dig beschreven, zodat het toestandsdiagram geen meerwaarde bezit.De voorbeelden zijn steeds uitgewerkt met een JK en vervolgens met een D flipflop.Het ontwerp van een volledig asynchrone teller wordt buiten beschouwing gelaten, daar deze tellers prak-tisch niet voorkomen in een deftig ontwerp.Merk op dat de nieuwe toestand QNTx rechtstreeks als telleruitgang gebruikt wordt. De uitgangsdecoderis overbodig. QNT0 = Q0 van de teller.

3.1 3-bit binaire opteller met reset.

Oplossing met JK flipflops.

huidige volgende toestand toestand

Teller QT2 QT1 QT0 QNT2 QNT1 QNT0

toest. J2 K2 J1 K1 J0 K0

0 0 0 0 0 0 x 0 0 x 1 1 x

1 0 0 1 0 0 x 1 1 x 0 x 1

2 0 1 0 0 0 x 1 x 0 1 1 x

3 0 1 1 1 1 x 0 x 1 0 x 1

4 1 0 0 1 x 0 0 0 x 1 1 x

5 1 0 1 1 x 0 1 1 x 0 x 1

6 1 1 0 1 x 0 1 x 0 1 1 x

7 1 1 1 0 x 1 0 x 1 0 x 1

J Q Q

K Q Q

J Q

K Q

J

K

T T

T T

T

T

2 1 0

2 1 0

1 0

1 0

0

0

1

1

==

====

XTAL

Ct

Rt

R2

C2

RbR2

C2C3CT = 0

CTR14

4060

CXRXRCX

!G9

12

1011

CT = 0

CTR14

4060

CXRXRCX

!G9

12

1011

CT = 0

CTR14

4060

CXRXRCX

!G9

12

1011

Externe klok RC-oscillator Kristaloscillator

fosc = 1

2, 5 Rt Ct

R2 ≈ 2Rt

R2C2 << RtCt

fosc = fXTAL

R2 = 2K2

C2 = 100pF C3 = 22pF…37pF

Rb = 100k…1M

DIGITALE TECHNIEKEN HOOFDSTUK 11 11. 10

Page 186: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

De resetsturing wordt rechtstreeks verbonden met de resetingangen van de gebruikte flipflops en vraagtgeen extra componenten.

Daar deze teller geen verboden toestanden kent, is de schakeling altijd zelfstartend.

Q2

Q1

Q0

CLK

R/

&+5V

+5VS

1J

1KR

C1

7 4 … 1 1 2

S1J

1KR

C1

7 4 … 1 1 2

5

11

12

Q0

6

9

Q1

7

11

13CLK

2

3 5

Q2

61515

14

R/

1311

12

9

7

4

2

34

10 10

14

Q2 = QNT2Q1 = QNT1Q0 = QNT0

J2 QT0 K2 QT0 J1 QT0 K1 QT0

0 1 0 1 0 1 0 1

QT2,QT1 00 0 0 QT2,QT1 00 x x QT2,QT1 00 0 1 QT2,QT1 00 x x

01 0 1 01 x x 01 x x 01 0 1

11 x x 11 0 1 11 x x 11 0 1

10 x x 10 0 0 10 0 1 10 x x

DIGITALE TECHNIEKEN HOOFDSTUK 11 11. 11

Page 187: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Oplossing met D flipflops.

Door de verschillende functies om te vormen naar AND- en EXOR-poorten, volstaan eveneens 3 IC's omde volledige schakeling op te bouwen.

Q2

Q1

Q0

CLK

R/

C1

1D

R

7 4 … 1 7

=1

&

=1

9

CLK

1R/

34

6

11

13 1514

5

2

Q0

7

Q1

10

Q2

12

Q2 = QNT2Q1 = QNT1Q0 = QNT0

D2 QT0 D1 QT0 D0 QT0

0 1 0 1 0 1

QT2,QT1 00 0 0 QT2,QT1 00 0 1 QT2,QT1 00 1 0

01 0 1 01 1 0 01 1 0

11 1 0 11 1 0 11 1 0

10 1 1 10 0 1 10 1 0

huidige volgende toestand toestand

Teller QT2 QT1 QT0 QNT2 QNT1 QNT0

toest. D2 D1 D0

0 0 0 0 0 0 11 0 0 1 0 1 02 0 1 0 0 1 13 0 1 1 1 0 04 1 0 0 1 0 15 1 0 1 1 1 06 1 1 0 1 1 17 1 1 1 0 0 0

D Q Q Q

D Q Q

D Q

T T T

T T

T

2 2 1 0

1 1 0

0 0

( )

= ⊕= ⊕

=

DIGITALE TECHNIEKEN HOOFDSTUK 11 11. 12

Page 188: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3.2 AIKEN opteller met preset naar 1011.

Oplossing met JK flipflops.

J1 QT1,QT0 K1 QT1,QT0

00 01 11 10 00 01 11 10

QT3,QT2 00 1 x x QT3,QT2 00 x x 1

01 1 - - - 01 x - - -

11 1 x x 11 x x 1

10 - - x - 10 - - 1 -

J2 QT1,QT0 K2 QT1,QT0

00 01 11 10 00 01 11 10

QT3,QT2 00 1 QT3,QT2 00 x x x x

01 x - - - 01 1 - - -

11 x x x x 11 1

10 - - 1 - 10 - - x -

J3 QT1,QT0 K3 QT1,QT0

00 01 11 10 00 01 11 10

QT3,QT2 00 QT3,QT2 00 x x x x

01 1 - - - 01 x - - -

11 x x x x 11 1

10 - - x - 10 - - -

huidige volgende toestand toestand

AIKEN QT3 QT2 QT1 QT0 QNT3 QNT2 QNT1 QNT0

cijfer. J3 K3 J2 K2 J1 K1 J0 K0

0 0 0 0 0 0 0 x 0 0 x 0 0 x 1 1 x

1 0 0 0 1 0 0 x 0 0 x 1 1 x 0 x 1

2 0 0 1 0 0 0 x 0 0 x 1 x 0 1 1 x

3 0 0 1 1 0 0 x 1 1 x 0 x 1 0 x 1

4 0 1 0 0 1 1 x 0 x 1 1 1 x 1 1 x

5 1 0 1 1 1 x 0 1 1 x 0 x 1 0 x 1

6 1 1 0 0 1 x 0 1 x 0 0 0 x 1 1 x

7 1 1 0 1 1 x 0 1 x 0 1 1 x 0 x 1

8 1 1 1 0 1 x 0 1 x 0 1 x 0 1 1 x

9 1 1 1 1 0 x 1 0 x 1 0 x 1 0 x 1

DIGITALE TECHNIEKEN HOOFDSTUK 11 11. 13

Page 189: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

De presetingang bedient rechtstreeks de setingang van Q0, Q1 en Q3 en de resetingang van Q2.Ga zelf na of de teller al dan niet zelfstartend is. Pas het schema eventueel aan.

flipflop volgende ingangen toestand

verb. QT3 QT2 QT1 QT0 J3 K3 J2 K2 J1 K1 J0 K0 QNT3 QNT2 QNT1 QNT0 volgendetoest. toestand

V1 0 1 0 1V2 0 1 1 0V3 0 1 1 1V4 1 0 0 0V5 1 0 0 1V6 1 0 1 0

Q3

Q2

Q1

Q0

CLK

PR/

S1J

1KR

C1

7 4 … 1 1 1

S1J

1KR

C1

7 4 … 1 1 1+5V+5V

&

≥1

&

≥1

&

6

12

15

7

Q0

10

6

1111

5 5

CLK

12

7

Q2

9

Q3

13

2

13

31

4

9

Q1

10

1

4

15

14

2

3

14

PR/

J Q J Q Q J Q Q Q J

K Q Q Q K Q Q Q K Q K

T T T T T T

T T T T T T T

3 2 2 1 0 1 0 3 2 0

3 2 1 0 2 3 1 0 1 0 0

1

1

= = = + =

= = + = =

DIGITALE TECHNIEKEN HOOFDSTUK 11 11. 14

Page 190: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Oplossing met D flipflops.

Daar de D flipflop enkel één gemeenschappelijke reset bezit, is het dus onmogelijk de preset 1011 aan deschakeling toe te voegen. Als alternatief heeft onderstaande teller een resetsturing.

D1 QT1,QT0 D0 QT1,QT0

00 01 11 10 00 01 11 10

QT3,QT2 00 1 1 QT3,QT2 00 1 1

01 1 - - - 01 1 - - -

11 1 1 11 1 1

10 - - - 10 - - -

D3 QT1,QT0 D2 QT1,QT0

00 01 11 10 00 01 11 10

QT3,QT2 00 QT3,QT2 00 1

01 1 - - - 01 - - -

11 1 1 1 11 1 1 1

10 - - 1 - 10 - - 1 -

huidige volgende toestand toestand

AIKEN QT3 QT2 QT1 QT0 QNT3 QNT2 QNT1 QNT0

cijfer. D3 D2 D1 D0

0 0 0 0 0 0 0 0 11 0 0 0 1 0 0 1 02 0 0 1 0 0 0 1 13 0 0 1 1 0 1 0 04 0 1 0 0 1 0 1 15 1 0 1 1 1 1 0 06 1 1 0 0 1 1 0 17 1 1 0 1 1 1 1 08 1 1 1 0 1 1 1 19 1 1 1 1 0 0 0 0

D Q Q Q Q Q

D Q Q Q Q Q Q

D Q Q Q Q

D Q

T T T T T

T T T T T T

T T T T

T

3 2 1 0 3 2

2 3 1 0 2 1 0

1 1 0 3 2

0 0

= +

= +

= ⊕ +

=

DIGITALE TECHNIEKEN HOOFDSTUK 11 11. 15

Page 191: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

Ga na of er eventueel problemen ontstaan bij het opstarten vanaf een verboden toestand.

4. Toepassingen.

1. Ontwerp een XC3 teller met een 74xx161.

2. Ontwerp een decoder voor een bidirectioneel looplicht (6 LED's). Gebruik de 74xx190 als teller.(0, 1, … 5, 4, 3, … 0, 1, …)

flipflop volgende ingangen toestand

verboden QT3 QT2 QT1 QT0 D3 D2 D1 D0 QNT3 QNT2 QNT1 QNT0 volgendetoestand toestand

V1 0 1 0 1V2 0 1 1 0V3 0 1 1 1V4 1 0 0 0V5 1 0 0 1V6 1 0 1 0

Q3

Q2

Q1

Q0

CLK

R/

C1

1D

R

7 4 … 1 7

=1

&

≥1

&&

&

&

≥1

&1

≥1

34

6

1R/

14

9

CLK

5

2

Q0

7

Q1

10

Q2

15

Q3

11

13

12

DIGITALE TECHNIEKEN HOOFDSTUK 11 11. 16

Page 192: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

3. Ontwerp een synchrone 10-opteller met JK flipflops.

Is deze teller zelfstartend ?

4. Ontwerp een synchrone 10-afteller met D flipflops.

Is deze teller zelfstartend ?

5. Ontwerp een synchrone 5-afteller met D flipflops.Ga de verboden toestanden na.

huidige volgende toestand toestand

Dec. QT2 QT1 QT0 QNT2 QNT1 QNT0

cijfer. D2 D1 D0

01234

huidige volgende toestand toestand

Dec. QT3 QT2 QT1 QT0 QNT3 QNT2 QNT1 QNT0

cijfer. D3 D2 D1 D0

0123456789

huidige volgende toestand toestand

Dec. QT3 QT2 QT1 QT0 QNT3 QNT2 QNT1 QNT0

cijfer. J3 K3 J2 K2 J1 K1 J0 K0

0123456789

DIGITALE TECHNIEKEN HOOFDSTUK 11 11. 17

Page 193: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

6. Ontwerp een synchrone 12-opteller in 6/4/2/1-mode met JK flipflops.

Is deze teller zelfstartend ?

7. Synchrone 3-bit op/afteller met JK flipflops.

Ontwerp een schakeling waarbij de ingang U/D de telrichting bepaalt.U/D = 0 : aftellen.U/D = 1 : optellen.

De toestand van U/D wordt na elke toestand gecontroleerd.

huidige volgende toestand toestand

Dec. U/D QT2 QT1 QT0 QNT2 QNT1 QNT0

cijfer. J2 K2 J1 K1 J0 K0

0- 0 0 0 0 1 1 11- 0 0 0 1 0 0 02- 0 0 1 03- 0 0 1 14- 0 1 0 05- 0 1 0 16- 0 1 1 07- 0 1 1 10+ 1 0 0 01+ 1 0 0 12+ 1 0 1 03+ 1 0 1 14+ 1 1 0 05+ 1 1 0 16+ 1 1 1 07+ 1 1 1 1

huidige volgende toestand toestand

Inp. QT3 QT2 QT1 QT0 QNT3 QNT2 QNT1 QNT0

J3 K3 J2 K2 J1 K1 J0 K0

01234567891011

DIGITALE TECHNIEKEN HOOFDSTUK 11 11. 18

Page 194: Voorwoord. - Telenet.beusers.telenet.be/mestchen/elektro/digitaal/digitech_handboek.pdf · Op het gebied van componenten spitsen de ontwerpers en de fabrikanten zich hoofdzakelijk

S. Nelissen Synthese van digitale systemenDie Keure ISBN : 90 6200 601 9

J. Cuppens, H Saeys Digitale technieken.Combinatorische en sequentiële logica. 1A en 1BDie Keure

L. Schilling Digital integrated Electronics.McGraw Hill ISBN : 07 085788 1

M. Fogiel The electronics problem solverREA ISBN: 0 87891 543 5

J. Buchanan CMOS/TTL digital Systems DesignMcGraw Hill ISBN : 0 07 008711 3

R.L. Polis Logica symboliek.Toelichting op het IEC-systeem.Kluwer Technische Boeken ISBN : 90 201 1439 5

E.A. Lacy Complete guide to understanding electronics diagrams.Pentice Hall ISBN : 0 13 160920 3

DIGITALE TECHNIEKEN Literatuurverwijzing.

Literatuurverwijzing.