ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t...

72
Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) : Impact du réacteur et de la pression et développement de dépôts sélectifs C. Vallée - Journées du réseau plasma froid oct 2018 C. Vallée 1,3 , R. Gassilloud 2 , R. Vallat 1,2 , V. Pesce 1,2 , S. Belahcen 1 , A. Chaker 1 , O. Salicio 1 , N. Posseme 2 , P. Gonon 1 , M. Bonvalot 1 , and A. Bsiesy 1 1 Univ. Grenoble Alpes, Lab. LTM (CEA-LETI/MINATEC) - Grenoble France 2 CEA-LETI / MINATEC Grenoble France 3 University of Tsukuba, Faculty of Pure and Applied Physics Tsukuba Japan

Transcript of ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t...

Page 1: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Procédés de dépôt plasma avec injection pulsée de précurseurs (PECVD et PEALD) :

Impact du réacteur et de la pression et développement de dépôts sélectifs

C. Vallée - Journées du réseau plasma froid – oct 2018

C. Vallée1,3, R. Gassilloud2, R. Vallat1,2, V. Pesce1,2, S. Belahcen1, A. Chaker1, O. Salicio1, N. Posseme2, P. Gonon1, M. Bonvalot1,

and A. Bsiesy1

1Univ. Grenoble Alpes, Lab. LTM (CEA-LETI/MINATEC) - Grenoble – France2CEA-LETI / MINATEC – Grenoble – France3University of Tsukuba, Faculty of Pure and Applied Physics – Tsukuba – Japan

Page 2: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

C. Vallée - Journées du réseau plasma froid – oct 2018

PECVD in microelectronics

- Mainly capacitive discharges working at high pressure (> Torr)- Plasma damage, no need for ions- High throughput manufacturing- Uniformity (2% from center to edge)

- HDP-CVD process for gap filling is using a low pressure plasma discharge with inductive source

PECVD TEOS HDP CVD silane

D.R. Cote et al, IBMJournal of Researchand Development,Plasma processing, 43(1/2), 1999

PECVD parameters

Page 3: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

PECVC process with CCP RF reactor

Parameters to turn for the process:- Plasma Power: plasma density, flux, energy - Pressure: reactions in the plasma, Te, sheath collisionless or not- residence time: plasma/surface interactions

C. Vallée - Journées du réseau plasma froid – oct 2018

PECVD parameters

Page 4: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

PECVD parameters

Can we find more parameters to turn?

RF generator frequency Pulsing the plasma or the precursors

What can be pulsed?All parameters can be pulsed:Plasma modulation: modification of discharge chemistry, decrease the ion to neutral flux ratio on the substrate, presence of long life time active species which act as precursors to the film growth, decrease substrate temperature…Precursors: control of thickness, plasma chemistry, conformality…

→ composition, stress and properties of thin filmC. Vallée - Journées du réseau plasma froid – oct 2018

Page 5: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

From PECVD to PEALD

Reactive plasma gas

OFF

ON

Precursor

OFF

ON

PECVD

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 6: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

From PECVD to PEALD

Reactive plasma gas

OFF

ON

Precursor

OFF

ON

PECVD

Precursor

OFF

ON

Pulsing the plasma ON

OFF

Reactive plasma gas

OFF

ON

Pulsing the precursor ON

OFF

AVD / PECVD with DLI

Pulsed PECVD

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 7: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

From PECVD to PEALD

Reactive plasma gas

OFF

ON

Precursor

OFF

ON

PECVD

PEALD

Precursor

OFF

ON

Pulsing the plasma ON

OFF

Reactive plasma gas

OFF

ON

Pulsing the precursor ON

OFF

AVD / PECVD with DLI

Pulsed PECVD

Precursor

Purge

Reactive Plasma gas

1

2 4

3 OFF

ON

OFF

ON

OFF

ON

Precursor

Plasma

Reactive gas

1

2

ON

OFF

ON

OFF

ON

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 8: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

ALD

C. Vallée - Journées du réseau plasma froid – oct 2018

Atomic layer deposition (ALD) is a CVD technique that can achieve unparalleledcontrol at the atomic scale.

ALD achieves ‘bottom-up’ control through chemical reactions with specialcharacteristics:

reactions take place at the surface onlyÆ gas-phase reactions are inhibited;

reactions are self-limitingÆ but can be re-activated;

reactions take place independent of fluctuations in external conditionsÆ the same chemistry thus applies at all scales

Cyclic deposition (no reactions between the precursor and the reactant)

Page 9: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Solid State Technology and Global Industry Analysts (GIA): the global depositionequipment market will hit $13.6 billion by 2020. Atomic layer deposition (ALD) isforecasted to be the fastest growing segment, with a compound annual growth rate of19.9 percent, the market research firm estimates.

The 3D device inflection is driving growth inALD with demands for new patterning films, newconformal materials and lower thermal budgets

8 billions USD

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 10: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

1)

2)

3) Purge 4) 5) Purge

Al(CH3)3 exposure

Surface Initiale

H2O exposure

Comparison betweenthermal ALD and PE-ALD

From Oxford instrument

PEALD

Profijt et al., J. Vac. Sci. Technol. A 29 (5) 050801 (2011)

Al2O3 - Al(CH3)3 and H2O

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 11: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

1)

2)

3) Purge 4) 5) Purge

Al(CH3)3 exposure

Surface Initiale

H2O exposureO2 plasma exposure

O2 plasma

PEALD

Al2O3 - Al(CH3)3 and O2 plasma

Comparison betweenthermal ALD and PE-ALD

From Oxford instrumentProfijt et al., J. Vac. Sci. Technol. A 29 (5) 050801 (2011)

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 12: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Only used for the activation step. If used during the injection of precursor: PECVDprocess without self-limited reaction

Cold plasmas reservoir of radicals for oxidation (O from O2 plasma), orreduction (H from H2 or NH3 plasma) steps

General idea: ions flowing to the surface are too energetic particles for ALD withcreation of defects, roughness and not self-limiting step

→ they must be removed → specific design of plasma reactors for PEALD

→ capacitive discharge with grids to remove ions

→ high density plasma sources (inductive, microwave) used as a remote discharge:distance between plasma source and substrate is higher than the mean free path ofions ; all ions are loosed when travelling from their creation to the substrate

C. Vallée - Journées du réseau plasma froid – oct 2018

Cold plasmas for ALD

Page 13: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

All reactor have advantages and defaultsASM / BENEQ / TEL …

PEALD plasma reactors

Substrate where the plasma is ignitedIon bombardment (direct plasma)Plasma damage Substrate heatingNo control of ion energy

Plasma uniformity / substrateHigh flux of radicals (/ions)Double frequencyHigh Pressure (collisional sheath)No ion bombardment (grid)

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 14: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Plasma uniformityPlasma damageLimited to low/moderate pressure

Remote plasma Substrate not in the plasma ignition zone Control of ion energy may be possible

PEALD plasma reactors

All reactor have advantages and defaultsPICOSUN / OXFORD …

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 15: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Si3N4 ALD process with dichlorosilane (DCS)and ionized (plasma) ammonia (NH3) at 500°Cin a furnace

A batch furnace can process up to100 wafers at the same time, whichmakes the process more costeffective compared to single waferprocess. (5nm ~1 hour)

Batch tool

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 16: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Plasma ALD is (may) using same precursors than thermal ALDOnly the gas nature of the activation step is modified

Material ALD precursor Plasma gas

Oxide H2O ou O3 O2

Nitride NH3 N2/H2

Metal O2, N2/H2, … H2

PEALD

from Oxford Instrument

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 17: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Source: Oxford instruments

Thermal ALD vs PEALD: Al2O3

Decrease is attributed to thermally activated recombination reactions of surface hydroxyl

groups – OH (dehydroxylation)Long purge times with H2O at low temperature

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 18: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

C. Vallée - Journées du réseau plasma froid – oct 2018

PEALD For Area Selective Deposition

- What is ASD?

- ASD for microelectronics?

- A way to do it: add a plasma etching step in PEALD step

Page 19: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Hey guys I need a thin oxide deposition on my two wafers

What is Area Selective Deposition?

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 20: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

What is Area Selective Deposition?

Hey guys I need a thin oxide deposition on my two wafers

PVD man (70/80’s): let’s go!

D.R. Cote et al, IBM Journal of Research and Development, Plasma

processing, 43 (1/2), 1999

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 21: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

What is Area Selective Deposition?

Hey guys I need a thin oxide deposition on my two wafers

(PE)CVD man (80/90’s): In can do better for the 3D substrate!

D.R. Cote et al, IBM Journal of Research and Development, Plasma

processing, 43 (1/2), 1999

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 22: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

What is Area Selective Deposition?

Hey guys I need a thin oxide deposition on my two wafers

(PE)ALD man (2000’s): No ways, I am the best!

« ALD break materials, conformality barriers », A.E. Braun,

Semiconductor Int. Octobre 2001

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 23: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

What is Area Selective Deposition?

Hey guys I need a thin oxide deposition on my two wafers

ASD man (now to…..): I can select the area!

A. Chaker et al, to be published

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 24: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

PVD, (PE)CVD, ALD: Deposition of thin films is made in the z direction

(control of the thickness)

How can we obtain the control of the two other directions (x,y)?

Patterning – top down approach

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 25: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Lithography step: resist deposition + mask definition

Patterning steps – TOP DOWN approach

Plasma etching step:mask transferStripping:

mask etching

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 26: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

More an more complex patterning using two of several steps of patterning (masks and exposure) – alignment for is crucial

C. Vallée - Journées du réseau plasma froid – oct 2018

G.N. ParsonsALD2018 tutorial

Page 27: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Resolution needed for the scaling of the pitch is no more following the wavelength of the stepper → introduction of complex multiple patterning processes

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 28: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Material used for spacer »

SiO2 and Si3N4 by PEALD

Low T°(300 – 500 °C)

Conformal deposition

High quality

Self-Aligned Quadruple Patterning: the pitch is reduced by a factor of 4

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 29: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Patterning method Normalized cost per waferSingle Exposure (SE) 1Litho Etch Litho Etch (LELE) 2.5

Litho Etch Litho Etch Litho Etch (LELELE) 3.5

Self-Aligned Double Patterning (SADP) 2

Self-Aligned Quadruple Patterning (SAQP) 3

Extreme UV (EUV) Single Exposure (SE) 4EUV + SADP 6

A. Raley et al., Proc. SPIE 9782, 97820F (2016)

Multipatterning processes is needed for actual and future transistors

→ Increase of wafer cost → Misalignment errors

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 30: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 31: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 32: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Area Selective Deposition: new bottom-up approachgrowth is controlled in the 3 directions

The deposition can depend on the chemical nature of the surface

(no deposition on metallic surface)

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 33: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

The deposition can also be selective in one direction versus the others

More complex: surface selectivity + directional growth

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 34: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Why using ALD for ASD?

Cyclic depositionSelf-limiting reactionsVery conformal

Very sensitive to the surface

ALD

In this ALD example, deposition starts from a –OH terminated surface

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 35: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Precursors may react with one substrate, but not with another

A precursor may etch away a substrate or react with subsurface layers. Or aprecursor may not react at all on a substrate - such nucleation delays arecommon, e.g. for oxides on H-terminated silicon or for metals on oxides

Film

thic

knes

s (Å

)

Number of ALD cyclesSubstrate effects

The ADL growth can start fromthe first cycle but delays mayalso be observed

M. A. Alam, and M. L. GreenJournal of Applied Physics, (2003)

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 36: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

This can be used for ASD, it is ASD by inherent selectivity - It can be inherent or induced by a post deposition treatment- Need better understanding between surface and precursors interactions

J. W. Elam ECS Transactions, (2007)

Tungsten nucleation delay is substrate dependent- Surface bound water inhibits W-ALD nucleation- SiH4 pre-exposure promote nucleation

BUT:

Most of the time the selective thickness is limited to few nm

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 37: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Two strategies to improve the selectivity:- using an area activation,- using an area deactivation

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 38: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

BUT: whatever the choice, a deposition may occur where it is not wanted after a given number of cycles (SAM not dense enough, SAM are reduces/modifies by a plasma,….)

Need to introduce corrective action:

etching of undesired material

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 39: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Etching will enable a “perfect” ASD?

1. Different nucleation time between twosurfaces A and B is needed (inherentselectivity, surface treatment…)

2. Precise etching at the nanometer scale toremove the deposited material fromsurface B

3. Add a new nucleation delay time for thesurface B after or during the etching step

A B A

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 40: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Need to do both Deposition (PEALD) and Etching (ALE…) in the same tool

R. Vallat, R. Gassilloud, B. Eychenne, and C. Vallée, J. Vac. Sci Technol. A 35(1) 01B104 (2017)

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 41: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

GO BACK TO SLIDE 13: HOW CAN WE DO THAT WITH THIS APPROACH?

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 42: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Selective Deposition

anisotropic etchingion sputt., RIE, anisotropic ALE

isotropic etchingchem. etching, isotropic ALE

anisotropic etchingion sputt., RIE, anisotropic ALE

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 43: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Precursor

O2

Etching cycle PEALD (8 cycles) PEALD (8 cycles)

Plasma Power

Etching gas

When? Every cycle, every 5 cycles, …

How long? 1s? 3s?...

throughput of the process

throughput of the process, not perfect ASD (undesired material not removed / all the desired material is removed)

Why does it work and how improve it? Plasma and precursor /surface reactions, contamination…

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 44: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Ta2O5 ASD: PEALD Ta2O5 + Ar plasma

MB

Prf

PEALD – deposition step

0 W

0 V

Plasma potential

Vp

Non-collisional sheath

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 45: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

MB

Prf

PEALD – deposition step

0 W

0 V

Plasma potential

Vp

Non-collisional sheath

MB

Prf

Plasma potential

Vp

Non-collisional sheath

Ar plasma – sputt. step

Vdc (self-bias) +

Ta2O5 ASD: PEALD Ta2O5 + Ar plasma

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 46: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

MB

Prf

Plasma potential

Vp

Non-collisional sheath

Ar plasma – sputt. step

Vdc (self-bias) +

Need a good control of ion energy

Low pressure plasma (non-collisional sheath)

HDP source (inductive…)

Ion energy = e(Vp-Vdc)

Ta2O5 ASD: PEALD Ta2O5 + Ar plasma

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 47: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

PEALD tool from Oxford (FLEXAL) with substrate biasing platform and in situ ellipsometry (film sense)

Ta2O5 ASD: PEALD Ta2O5 + Ar plasma

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 48: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

12

3

4

Case 1: Trenches - Aspect Ratio = 8

A. Chaker et al, to be publishedC. Vallée - Journées du réseau plasma froid – oct 2018

Page 49: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

2

No deposition

Ta2O5conformal deposition

A. Chaker et al, to be published

Page 50: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

4

Ta2O5conformal deposition

No deposition

A. Chaker et al, to be publishedC. Vallée - Journées du réseau plasma froid – oct 2018

Page 51: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

1 2

3

Case 2: Cylinder structure - Aspect Ratio = 5

A. Chaker et al, to be publishedC. Vallée - Journées du réseau plasma froid – oct 2018

Page 52: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

2

No deposition

Conformal Ta2O5

deposition

Conformal Ta2O5

deposition

A. Chaker et al, to be publishedC. Vallée - Journées du réseau plasma froid – oct 2018

Page 53: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

3

Conformal Ta2O5

deposition

No deposition

A. Chaker et al, to be publishedC. Vallée - Journées du réseau plasma froid – oct 2018

Page 54: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

OK this is done but can we dome something more complicated

Example 2 of ASD using etching

directional selective deposition + surface selective depositionusing ion sputtering is no more a good solution

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 55: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Process developed in 300 mm toolfrom KOBUS (capacitive discharge)with in situ XPS

Example 2 of ASD using etching

300 mm CCP PEALD tool

Need of a chemical ISOTROPIC etchingEtching must be done by radicals only

Can be done in CCP discharge at high pressure (collisional sheath)

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 56: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

0

1

2

3

4

0 10 20 30 40 50

Without NF3 treatment

With NF3 treatment

Thi

ckne

ss (n

m)

Number of cycles

a) Si substrate

Additional nucleation time for Si substrate

1

2

3

4

5

6

0 10 20 30 40 50

Without NF3 treatment

With NF3 treatment

Thic

knes

s (nm

)

Number of cycles

b) TiN substrateNo effect on TiN substrate

PEALD of TiO2 before and after NF3 plasma (Kobus tool)

R. Vallat, et al J. Vac. Sci Technol. A 35(1) 01B104 (2017)

Plasma is used to create delays in nucleation

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 57: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Impact of Fluorine-based plasma? (Example with ASD of TiO2)Quasi in situ XPS to understand and optimize the passivation step

From quasi in situ XPS we can estimate the nucleation delay induced by Fluorine-based plasma to be 5-

10 PEALD cycles

O. Salicio et al, to be published

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 58: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Plasma is also used to etch material

0

2

4

6

8

10

12

14

16

0 1 2 3 4 5 6 7 8

Etc

hing

thic

knes

s (A

)

Etching time (s)

Etching of TiO2 n NF3/O2/Ar plasma

The etching step must be made atthe same temperature than thedeposition temperature

→ F-based plasma good candidate:TiF4 volatile at 250°C

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 59: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Growth starts faster on TiN substrate (inherent or plasma treatment)

TiN TiNSi

After X cycles TiO2 starts to growth on both substrates

TiN TiNSi

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 60: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

NF3 is added to O2 plasma to etch TiO2 and create Si-F bonds (few Ti-F bonds)

TiN TiNSi

After a number of PEALD cycles TiO2 starts to growth on both substrates due to Fremoval on Si substrate by O2 plasma: NF3 again to etch and create new Si-F bonds

F F F F F F F F F F F F F F F F

F FFF

TiN TiNSi

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 61: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

NF3 is added to O2 plasma to etch TiO2 and create Si-F bonds (few Ti-F bonds)

TiN TiNSi

After a number of PEALD cycles TiO2 starts to growth on both substrates due to Fremoval on Si substrate by O2 plasma: NF3 again to etch and create new Si-F bonds

F F F F F F F F F F F F F F F F

F FFF

TiN TiNSi

FF

F F F F F F F F F F F F F F F F

FF

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 62: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

TiN TiNSi

F F F F F F F F F F F F F F F F

Need to optimize the PEALD / etching cycles ratio !

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 63: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Selective deposition of TiO2 and Ta2O5 on TiN versus Si substrate

PEALD (x cycles) + plasma etching (1 cycle) = 1 super cycleSelective deposition = x super cycles

Tetrakis(diethylamido)titanium (TDEATi)

Presentation today: selective deposition ofTa2O5 and TiO2

PEALD of Ta2O5 (TiO2) with TBTDET(TDEAT) and O2 plasma

Etching cycle by adding fluorine-basedplasma

tert-Butylimido)tris(diethylamido)tantalum(TBTDET)

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 64: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

All requirements are ok! Let’s go for selective deposition

� In the selective deposition process for Ta2O5 we only slightly modified the PEALD process by adding a NF3 pulse in O2 pulse every 8 cycles* (O2/Ar (250 / 2500 scm - 75W plasma – 2 Torr)

TBTDET precursor

O2

Etching cycle PEALD (8 cycles) PEALD (8 cycles)

Plasma Power

NF3

� For TiO2 the number of PEALD cycles before plasma etching exposure has been fixed to 20

*More details in: R. Vallat, R. Gassilloud, B. Eychenne, and C. ValléeJ. Vac. Sci Technol. A 35(1) 01B104 (2017)

Page 65: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Number of

super cycles

Ta2O5 thickness

on Si / SiO2 (nm)

Ta2O5 thickness

on TiN (nm)

Ta2O5 density

(g.cm-3)

11 0 / 0 3.6 6.4

22 0 / 0 7.1 6.1

R. Vallat, R. Gassilloud, B. Eychenne, and C. ValléeJ. Vac. Sci Technol. A 35(1) 01B104 (2017)

Si SiO2 TiN

Ta2O5

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 66: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Number of

super cycles

Ta2O5 thickness

on Si / SiO2 (nm)

Ta2O5 thickness

on TiN (nm)

Ta2O5 density

(g.cm-3)

11 0 / 0 3.6 6.4

22 0 / 0 7.1 6.1

Density is slightly reduced when increasing the number of super cycles:Origin? Voids? Contamination by F atoms? Impact on electrical properties?

Number of

super cycles

TiO2 thickness

on Si (nm)

TiO2 thickness

on TiN (nm)

TiO2density

(g.cm-3)6 0 4.3 4.1

12 0 6.4 3.9

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 67: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

TiN

SiO2

Si3N4

TiN

Si

ASD on 3D patterned substrate (SEM image by M. Fraccaroli)

R. Vallat et al. submitted to JVSTA

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 68: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

TiN

SiO2

Si3N4

TiN

Si

R. Vallat et al. submitted to JVSTAASD on 3D patterned substrate (SEM image by M. Fraccaroli)

Page 69: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

TiN

SiO2

Si3N4

TiN

Si

R. Vallat et al. submitted to JVSTA

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 70: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

b.

a.

R. Vallat et al. submitted to JVSTA

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 71: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

- Not radicals only can be useful for PEALD processes

- Depending on the materials, ions can increase/decrease the GPC,modify the density, roughness, crystalline phase. Ions can also beused for area selective deposition on 3D patterned substrates

- What about the others? UV photons, O3….

Conclusion

- Whatever the ASD process (SAM,,...),etching is needed to improve selectivity

- Optimum goal: ALD + ALE

7.8

7.85

7.9

7.95

8

8.05

8.1

8.15

8.2

200 210 220 230 240 250 260 270 280

Thic

knes

s var

iatio

n (n

m)

Time (s)

ALE of Ta2O

5

O2/CF

4 plasma + Ar plasma 5W bias (-45 V)

CF4

+ purge

Ar plasma

Ar plasma Ar

plasma

CF4

+ purge

Ar plasma

CF4

+ purge

CF4

+ purge

ALE in the PEALD FLEXAL tool is now optimized

C. Vallée - Journées du réseau plasma froid – oct 2018

Page 72: ion ) : ôts s · 2018-10-30 · ion ) : Impact et ôts s e - s du u froid – t 2018 e 1,3loud 2t 1,2 Pesce 1,2en 1, r 1io , eme 2, PGonon 1M. Bonvalot 1, sy 1 1v e es A -C) –

Futur trends: All is Atomic Layer Processing

http://www.atomiclimits.com/2017/07/03/the-dawn-of-atomic-scale-processing-the-growing-importance-of-atomic-layer-deposition-and-etching/

http://rafald.org

C. Vallée - Journées du réseau plasma froid – oct 2018